• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,780건

계산기의 역할은 모두 끝이 나게 됩니다 자바공부를 많이 하지 않아서 책을 뒤져가며 공부 하였습니다. 학기말이 되었고 비쥬얼 프로그래밍 역시 지금까지 한 프로젝트가 API로 시계와 게임을 구현하고 또 다음주까지 MFC로 지뢰찾기를 구현해
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2005.10.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
자바를 이용한 누적계산기] <코딩 내용> 1. public class 에 main 메소드 에서 다른 class를 호출 2. class를 내에 inner class를 만들어 Actionlistener를 구현. 3. 연산기호가 몇 번 입력 되었는지 여부에 따른 메소드 구현(누적 계산을 위함) 4. 실행화면을
  • 페이지 12페이지
  • 가격 4,000원
  • 등록일 2013.07.24
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
4) 설계 1-4-1. 전체구조 1-4-2. 함수별기능 1-4-3. 알고니즘 2. 코딩 * (V)표시되어 있는건 프로그래밍 언어론을 배우시는 분들에게 필요한 내용이므로 단순히 JAVA프로그래밍을 들으시는 분들에게는 필요 없는 내용입니다.
  • 페이지 16페이지
  • 가격 2,000원
  • 등록일 2004.11.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
자바를 이용한 GUI계산기 입니다. 개발툴은 NetBeans 5.5를 사용했습니다... 
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2006.11.17
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
구현 사칙연산 버튼 구현 + , - , x , / +/-연산 버튼 구현 <- 입력창(결과창)의 입력한 값을 지 우는 버튼 구현 - = 연산 버튼 구현 (후위연산식으로) 자바로 코딩한 계산기 입니다. ppt+코딩+주석 모두 묶어놨습니다.
  • 페이지 9페이지
  • 가격 2,500원
  • 등록일 2016.06.18
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 12건

프로그램 개인정보 화면 23 2. 전자수첩 프로그램 스케줄 관리 화면 25 3. 전자수첩 프로그램 일기장 화면 26 4. 전자수첩 프로그램 메모장 화면 26 5. 전자수첩 프로그램 계산기, 게임 화면 27 제 6 장 결론 및 향후 연구과제 28 참고문헌 29
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2008.10.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용한 LVS 2.4 LVS 스케줄링 알고리즘 2.4.1 LVS 스케줄링 개요 2.4.2 Round-Robin Scheduling 2.4.3 Weighted Round Robin Scheduling 2.4.4 Least-Connection Scheduling 2.4.5 Weighted Least Connection Scheduling 3. 웹서버 클러스터의 구현 3.1 시스템 구성
  • 페이지 62페이지
  • 가격 5,000원
  • 발행일 2010.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
자바 멀티미디어 JMF”, JMF1_심빈구 .doc, June 2000. 케이시 시에라, “Head First Java(뇌 회로를 자극하는 자바 학습법)”, 한빛미디어, November 2005. H. Schulzrinne , “RFC 1889 and 3550: A Transport Protocol for Real-Time Applications”, Audio-Video Transport Working Group, January
  • 페이지 29페이지
  • 가격 3,900원
  • 발행일 2008.10.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용한 소자이다. 1990년대 들어 10인치 TFT LCD의 양산화가 실현되면서 노트북PC의 대표적인 디스플레이로 자리 잡고 CRT를 대체하는 디스플레이 중 하나로 각광받고 있다. 전자시계, 전자계산기, 액정TV, 노트북PC 등 전자제품에서 자동차, 항공기
  • 페이지 59페이지
  • 가격 3,000원
  • 발행일 2007.10.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
구현 15 2.2.3. AVR mega128 회로 구성 26 2.2.4. AVR ATmega 128 serial 통신 및 pulse 출력 programming 28 2.2.5. 기본 프레임 및 외형 31 3. 결 과 31 3.1. 구현방식 및 기능설명 31 3.1.1. Host Program 31 3.1.2. 모터구동 부분 31 3.2. 구현결과 32 3.2.1. ATmega128을 이용한
  • 페이지 38페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 58건

이용하여 정보 처리를 시행하는 업체에서 활동합니다. 포토샵에 대해서 말하자면, 미국의 어도비시스템이 개발한 그래픽 편집 소프트웨어입니다. 입력된 화상에 대하여 다양한 편집과 수정을 할 수 있는 프로그램으로, 사진 이미지의 색상
  • 가격 3,000원
  • 등록일 2012.04.13
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
프로젝트를 통해 머신러닝과 딥러닝을 이용한 악성코드 탐지 방법에 대해 배울 수 있었습니다. 3) 자바 웹 프로그래밍 강의 프로젝트 Github: https://xxxxxxxxxxx 개발 기간: 2021.09 ~ 2021.12 역할: 개발자 설명: 이 프로젝트는 자바 웹 프로그래밍 강의
  • 가격 3,000원
  • 등록일 2023.11.07
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
프로젝트 관리와 시스템 공학 분야에서 프로젝트의 더 작은 요소로 분해시킨 분업 구조이다. 업무 분업 구조는 팀의 작업을 관리 가능한 부분들로 조직화해놓은 것이다. - WEBTOB - 국내 소프트웨어 업체인 티맥스소프트의 웹투비는 제우스(JEUS
  • 가격 3,500원
  • 등록일 2020.03.02
  • 파일종류 한글(hwp)
  • 직종구분 기타
자바 전문가 과정을 밟았습니다. 여기서 EJB, JAVA, XML, 네트워크에 대한 전문적인 지식을 배우고 저를 포함한 5명의 팀원과 함께 EJB를 이용한 웹 기반 설문조사 시스템을 구축하였습니다. (‘비트 프로젝트 86호’로 출간 출판사-BIT북스) 여기서
  • 가격 1,000원
  • 등록일 2009.11.22
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
이용자를 늘리기 위해 필요한 데이터나 분석 방법은? 9 프로젝트를 하면서 힘들었던 점은 무엇인가요? 10 레거시 서비스 분석을 한다면 팀에 바라는 점이 있는지? 11 OSI 7계층에 대해 아는 만큼 설명해주세요. 12 자바스크립트의 this에 대해 설명
  • 가격 19,900원
  • 등록일 2023.06.13
  • 파일종류 한글(hwp)
  • 직종구분 기타
top