• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,091건

저희의 개발 동기는 막연하고 이해하기 힘든 어려운 게임을 만드는 것이 아니라 여태까지 수업으로 배운 다양한 함수들을 활용하여 우리손으로 직접 무언가를 만들어보는 것에 목적을 두었습니다. 마우스 원클릭 시스템 + 난수 발생
  • 페이지 12페이지
  • 가격 1,000원
  • 등록일 2015.12.21
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
, 어떻게 상호작용을 할 수 있을까? ANSWER : 초보자들의 경우에도 의미협상을 통한 상호작용은 가능하다. 위의 (의미협상의 예) 다양한 전략을 통해서 초보자들의 경우도 의미를 전달할 수 있다. 영어교수법 기말고사(1~20강) 녹취요약본
  • 페이지 36페이지
  • 가격 5,300원
  • 등록일 2011.09.14
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
고사이트 한국교육개발원( http://www.kedi.re.kr ) 교사웹커뮤티니 http://eduict.org/ 도담교육, http://dodam2.new21.org / 충북교육청, 경기도교육청 교육사이트 전라남도교육과학원 http://web.cnei.or.kr Ⅰ. 서 론 A.연구의 필요성 B.연구의 목적 C.
  • 페이지 15페이지
  • 가격 2,200원
  • 등록일 2005.03.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
응용’이란 수업을 들으면서, 초음파에 대해 흥미를 가지게 되어 그 기본적인 초음파 센서의 기본원리를 이해하고자 기말 전자 응용 Term Project의 주제로 선정하였고 초음파 응용분야 중 가장 핵심이 되고 기본인 초음파 반사를 이용한 거리
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2008.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
각 단원별 레포트 내주신 것을 C++ MFC로 작성한 것입니다. 목차로는 0314 0321 0404 0411 4018 0502 0509 0523 0613 날짜마다 나온 레포트 종합본입니다. 금오공과대학교 C언어응용설계(박원우) 데일리 레포트, 프로그램 모음집입니다. 참고하셔
  • 페이지 20페이지
  • 가격 600원
  • 등록일 2015.12.21
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 11건

응용 서한석, 최영섭 공저 知&BOOK 2007 Embedded Linux 기반의 로봇 설계 & 제작 김상헌, 정재영, 이동명 공저 YoungJin.com 2004 ROBO-ONE을 위한 2족보행로봇 제작가이드 홍선학, 김송미, 이범로 공저 성안당 2006 國文抄錄 ⅴ 제 1 장 소형 무인 탐사 로
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
응용한 장비에서 가장 많이 쓰이는 유용한 기술을 사용하였다. 각각의 시스템에 쓰이는 IC 소자와 회로를 pspice 툴을 이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
C6713 DSK와 Code Composer Studio Ⅱ-1. TI C6713 DSK Ⅱ-2. Code Composer Studio Ⅲ. 효과적인 DSP를 하기위한 이론 Ⅲ-1. I/O Module Ⅲ-2. Ping-Pong Buffer Ⅳ. Filter 설계 Ⅳ-1. Low Pass Filter Ⅳ-2. LPF Code Ⅳ-3. Band Pass Filter Ⅳ-4. BPF Code V. DSB-SC의 시스템 설
  • 페이지 21페이지
  • 가격 6,500원
  • 발행일 2009.01.02
  • 파일종류 압축파일
  • 발행기관
  • 저자
C IP 주소에서 2비트 또는 3비트를 사용하여 서브네팅 하였을 때의 결과 비교 그림 3-7. IP 패킷 구조 그림 3-8. ARP의 동작 순서 그림 3-9. SLIP의 프레임 구조 그림 3-10. PPP 프레임 구조 그림 3-11. TCP 프로토콜 데이터 단위 (PDU) 그림 3-12. UDP 프
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Charles E. Merrill Publishing Co. Laban, R. (1963), Modern educational dance(2nd ed.). London : MacDonald & Evans. DeMariar, C. R. (1974), Dimensions of physical education. Saint Louis : The C. V. Mosby Co. Ian Hacking, 선혜영, 황경식 역, 왜 언어가 철학에서 중요한가?, 서울:서광사, 1989. 
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2009.03.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 47건

언어를 익히고, 대학교 때에는 과 특성상 C/C++이나 Assembly와 같은 S/W는 물론이고, H/W 분야와 정보 통신분야까지도 공부를 했습니다. 마이크로 프로세서(8051,Z80등)와 인터페이스를 할 수 있는 응용 소프트웨어와 하드웨어 설계를 할 수 있습니다
  • 가격 1,700원
  • 등록일 2010.09.01
  • 파일종류 워드(doc)
  • 직종구분 IT, 정보통신
설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계에 대하여 학습. [전자회로및설계(2)/A+] 응용회로와 다양한 형태의
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
설계 방식중 하나이다. MVC 방식은 자바, Smalltalk, C 및 C++ 등과 같은 프로그래밍 언어를 쓰는 개발 환경에서 널리 사용된다. MVC 형식은 목적 코드의 재사용에 유용한 것은 물론, 사용자 인터페이스와 응용프로그램 개발에 소요되는 시간을 현저
  • 가격 2,000원
  • 등록일 2019.11.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계 12. 토목·조경·도시·측량 13. 대학교수·행정직 14. 토목·조경·도시·측량 15. 기술직강사 16. 일반사무 17. 일반사무 18. 전기.전자 19. 전기공사 20. 전기.전자 21. 최종 합격자 후기 22. 면접후기 23. 면접 기출 질문 24. 기업
  • 가격 20,000원
  • 등록일 2017.10.26
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계(2014) / A학점 취득 물리전자(2014) / B+학점 취득 마이크로0000(2014) / A학점 취득 종합00프로젝트(2015) / A학점 취득 컴퓨터00(2015) / A학점 취득 0000설계실험B(2015) / A+학점 취득 0000프로젝트2(2015) / A학점 취득 1. 자기소개서1 1) LG디스플레이 및
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
top