디지털공학개론_논리회로와 부울대수, 카로노맵의 기본개념을 정리하고 이들간의 상관관계를 긍정적인 측면에서 설명하시오
본 자료는 1페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
해당 자료는 1페이지 까지만 미리보기를 제공합니다.
1페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

디지털공학개론_논리회로와 부울대수, 카로노맵의 기본개념을 정리하고 이들간의 상관관계를 긍정적인 측면에서 설명하시오에 대한 보고서 자료입니다.

목차

1.
논리회로

2.
부울대수

3.
카르노맵

4.
상관관계

1) 논리회로와 카르노맵

2) 부울대수와 논리회로

3) 부울대수와 카르노맵

5.
참고문헌

본문내용

통과하는 게이트의 수도 적어야 한다. 간소화된 논리식은 회로의 게이트 수와 게이트 입력의 수가 최소화가 되고, 논리 레빌의 수가 감소하는 것이다.
카르노맵은 논리회로를 설계하기 위해 고안된 방법이고, 간단히 모든 경우의 수를 표로 그려놓고, 해당 표를 이용하여 회로를 간략화 하는 방법이다. 진리표 또는 논리식을 보고 카르노맵을 이용하여 간략화를 한다.
2) 부울대수와 논리회로
부울대수를 이용한 논리 회로 분석의 절차는 첫째, 논리회로에 대한 부울 함수를 유도한다.
둘째, 유도된 부울함수로부터 회로의 논리적 연산 과정을 파악한다.
마지막으로, 진리표를 작성해서 전체적인 연산 과정 및 입출력 관계를 파악한다.
부울대수는 전자공학에서 논리 회로의 설계와 분석에서 널리 사용이 된다. 논리회로의 입력과 출력을 부울대수식으로 표현하여 설계를 하고, 회로의 동작을 부울대수식으로 분석할 수 있다.
3) 부울대수와 카르노맵
카르노맵은 부울함수의 간단한 형식을 찾아내는 방법이다. 카르노맵을 만들 때 변수가 두 개이면 2*1, 변수가 3개이면 4*2이다. 인접하는 칸들은 동일한 변수를 가지고 있어야 하며, 인접되는 칸들은 원통처럼 연결이 되어 있다. 마지막으로 인접하는 칸들을 묶을 때는 2 ** n, 2 ** (n-1) 순으로 묶는다.
5. 참고문헌
- 정보통신기술용어해설, ‘부울 대수 정리’
http://www.ktword.co.kr/test/view/view.php?m_temp1=5543
- 권오형, 2014, ‘배타 논리합 원리를 이용한 다출력 논리회로 간략화’, 한국산학기술학회논문지 제15권 제9호
  • 가격2,000
  • 페이지수5페이지
  • 등록일2024.04.10
  • 저작시기2023.08
  • 파일형식한글(hwp)
  • 자료번호#1247156
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니