|
목차 ( 1. 설계 목적, 2. 사용계기 및 부품, 3. 설계 이론 및 개요)
1. (Counter를 사용한) 4거리 신호등 설계
2. 이론
3. 자료
1) 4-비트 동기식 2진 카운터 상태도
2) 카운터 타이밍도
3) Logic works Simulation 신호등 타이밍도
4) 결과 사진
|
- 페이지 5페이지
- 가격 3,500원
- 등록일 2012.07.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디지털 논리와 컴퓨터 설계 ( M. Morris Mano著 )
2. http://user.chollian.net/~wow7/electro/NandNorXor/NandNorXor.htm
실험 결과표
실험 1 ) NAND 게이트 실험결과
A
B
Z
0
0
1
0
1
1
1
0
1
1
1
0
실험 2 ) NOR 게이트 실험결과
A
B
Z
0
0
1
0
1
0
1
0
0
1
1
0
검토 및 고찰
1. 2입력 NAND 게
|
- 페이지 7페이지
- 가격 1,000원
- 등록일 2004.10.05
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
회로를 Design하고 Simulation을 해본 결과 오류비트가 먼저 들어감에도 정상적으로 작동하는 것을 볼 수 있었으며, 네 가지의 제한요소(경제성, 견고성, 확장성, 적시성)를 갖춘 회로를 완성하였다.
설계과제 요약서
제 1 장 서론
제 2 장
|
- 페이지 9페이지
- 가격 2,000원
- 등록일 2009.07.20
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
설계/실습1 강의자료실 실험7. 오실로스코프의 사용법
2) 디지털 논리설계 3rdEdition.최종필 외 6명. McGraw-HillKorea.
3) 네이버 백과사전, 오실로스코프
6. 예비 보고서
(1) 오실로스코프는 교류 전압을 측정하기 위하여 사용되는가?
순서값이 화면에
|
- 페이지 7페이지
- 가격 1,500원
- 등록일 2015.02.06
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
논리회로로 나타내어라.
④ 논리 게이트를 이용하여 회로를 구성하고 입력을 진리표와 같이 변화시키면서 출력 F의 상태를 확인하여라.
회로
결과
검토 4비트의 BCD 입력 중에서 그 수가 짝수일 때, 출력이 1이 되는 회로를 설계하여라.
w
x
y
z
F
|
- 페이지 4페이지
- 가격 1,300원
- 등록일 2013.12.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로로 단순화
기본 연산
논리곱(AND), 논리합(OR), 논리 부정(NOT), 배타적 논리합(XOR)의 4가지 연산
논리곱, 논리합, 논리 부정, 교환, 결합, 분배 법칙의 기본 정리를 제공하며, 이들을 사용하여 각종 형태의 논리 회로를 쉽게 설계할 수 있음
|
- 페이지 189페이지
- 가격 3,000원
- 등록일 2015.09.16
- 파일종류 피피티(ppt)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
f door_lock_mod_tb is
component door_lock
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic;
ps_end : in std_logic;
ps_mod : in std_logic;
ps_num : in std_logic_vector (3 downto 0);
door_open : out std_logic;
alarm : out std_logic );
end component;
signal clk : std_logic;
signa
|
- 페이지 13페이지
- 가격 2,300원
- 등록일 2014.03.27
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
f door_lock_mod_tb is
component door_lock
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic;
ps_end : in std_logic;
ps_mod : in std_logic;
ps_num : in std_logic_vector (3 downto 0);
door_open : out std_logic;
alarm : out std_logic );
end component;
signal clk : std_logic;
signa
|
- 페이지 13페이지
- 가격 2,000원
- 등록일 2014.02.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디지털의 이해 (논리 게이트 OR, AND, NOT, NAND, NOR, EX-OR··.)
- 디지털 IC의 종류와 특징 (TTL & C-MOS)
3. 사용기기 및 부품
- SMPS, 펑션제너레이터, 74LS90, 74LS47, 7 Segment, 저항(330)
4. 도면
10
5
▽
5V
16
8
GND
5. 실험 및 실험결과
가. 회로 제작 과정 모습
나.
|
- 페이지 18페이지
- 가격 3,000원
- 등록일 2011.07.14
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
nt seg -- 사용 할 소회로 선언
port (
clk_4M : in std_logic;
rstb : in std_logic;
seg : out std_logic_vector (6 downto 0);
digit : buffer std_logic_vector (5 downto 0)
);
end component;
signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화
signal CLK_4M : std_logic:=\'0\';
signal DIGIT
|
- 페이지 5페이지
- 가격 1,500원
- 등록일 2019.06.29
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|