|
회로를 설계하시오.
F(A,B,C) =
BC
A
00
01
11
10
0
0
1
3
2
1
4
5
7
6
EPI1 = = BC
EPI2 = = AC
EPI3 = = AB
F(A,B,C) = = EPI1 +EPI2 +EPI3 = BC + AC + AB
A
B
C V
3.3 다음의 논리함수들을 SOP와 POS의 형태로 간략화 하시오.
Input variable
Minterm
Maxterm
Output
a
b
c
Term
Designation
Term
Designati
|
- 페이지 9페이지
- 가격 500원
- 등록일 2007.07.28
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로구성은 인터넷을 참조하였다. 그리고 별 어려움 없이 작품을 구현하였고 제대로 작동하였으나 카운터가 너무 느린 것 같아서 저항의 위치를 바꿔주었다. 그리하여 더 빠른 변화속도를 낼 수 있게 하였다. 더욱 빠른 변환을 원한다면 위의
|
- 페이지 6페이지
- 가격 2,000원
- 등록일 2009.05.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
+ b′
bc a
0
1
00
1
1
01
1
1
11
1
0
10
1
0
East
a b c
←G
YG
R
0 0 0
0
0
1
0 0 1
0
0
1
0 1 0
0
0
1
0 1 1
0
0
1
1 0 0
0
0
1
1 0 1
0
0
1
1 1 0
1
0
0
1 1 1
0
1
0
←G = a b c′ , YG = a b c , R = a′ + b′ 1. 실험제목
2. 실험개요
3. 상태변화표
4. 상태변화표에 따른 카노맵 작
|
- 페이지 2페이지
- 가격 1,300원
- 등록일 2007.04.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
라고 한다.
setup time Ts는 클록의 상승 모서리 시점 이전에 동기식 입력신호가 변하지 않아야 되는 최소 시간간격을 말하며, hold time Th는 클록의 상승 모서리 시점 이후에 동기식 입력신호가 변하지 않아야 되는 최소 시간간격을 말한다.
< 74L
|
- 페이지 5페이지
- 가격 6,300원
- 등록일 2015.07.31
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로를 구성 (이후 특별한 사항이 없는한
{V}_{CC}
는 +5V에 연결한다.)
2) 전원 ON; SW1,SW2를 조작
3) 전원 OFF상태에서 그림과 같은 회로를 구성
4) 전원 ON; 2번과 동일한 조작
5) 부록을 참조, 다음 그림과 같은 회로를 구성
6) 전원 ON; SW1 조작
7) 전원
|
- 페이지 4페이지
- 가격 800원
- 등록일 2003.03.13
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
1. 실험의 목적
⑴. 드-모르간의 정리를 실험적으로 증명하고, 논리회로의 간략화를 보인다.
⑵. 7-Segment의 원리와 숫자 표시기의 사용방법을 익힌다.
2. 사용기기 및 부품
∙디지털 실험장치 (Digital Experiment System)
∙TTL 7400 (quad 2-input NA
|
- 페이지 7페이지
- 가격 1,800원
- 등록일 2013.08.07
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
A=1, B=1, C=0
출력 = 1
A=1, B=1, C=1
출력 = 1
C. (7) 3입력 논리회로 Y=ABC
A=0, B=0, C=0
출력 = 0
A=0, B=0, C=1
출력 = 0
A=0, B=1, C=0
출력 = 0
A=0, B=1, C=1
출력 = 0
A=1, B=0, C=0
출력 = 0
A=1, B=0, C=1
출력 = 0
A=1, B=1, C=0
출력 = 0
A=1, B=1, C=1
출력 = 1
C. (9) 4입력 OR 게이트 Y = A+B
|
- 페이지 6페이지
- 가격 4,200원
- 등록일 2013.10.25
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
downto 0);
end if;
end if;
end process;
end Behavioral;
(2)Booth 곱셈기
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_signed.ALL;
entity booth_multiplier is
--승수, 피승수 및 출력의 길이 저장
generic (m_plicand_width : integer :=8;
m_plier_width : integer :=8;
output_width : integer
|
- 페이지 19페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
X"17" => lcd_db <="00100000";
when X"18" => lcd_db <="00100000";
when X"19" => lcd_db <="00100000";
when X"1A" => lcd_db <="00100000";
when X"1B" => lcd_db <="00100000";
when X"1C" => lcd_db <="00100000";
when X"1D" => lcd_db <="00100000";
when X"1E" => l
|
- 페이지 27페이지
- 가격 2,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
gic;
G, P, Sum : out std_logic);
end component;
component Lookahead_carry_generator
port(G, P : in std_logic_vector (3 downto 0);
Ci : in std_logic;
m : in std_logic;
C : out std_logic_vector (4 downto 1);
PG, GG : out std_logic);
end component;
begin
B_sig(0) <= B(0) Xor m;
B_sig(1) <= B(1) X
|
- 페이지 17페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|