• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 507건

A/D 변환기 회로 아날로그 신호를 디지털 신호로 변환(convert) 처리하는 회로는 디스크리트(discrete) 회로에 의해 구성할 수 있는데, 여러 가지 전용 IC들이 개발되어 있으므로 이들 칩을 이용하여 설계하면 편리하다. 그러나, 아날로그 부분과
  • 페이지 7페이지
  • 가격 1,300원
  • 등록일 2005.10.02
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 값으로 주어진다. ⑧ 카운터는 시작 pulse가 새로운 변환을 유도할 때까지 이 값을 계속 유지한다. (5) 위의 4에서 설계한 각 회로에 대해 resolution, accuracy 및 linearity를 이론적으로 계산하시오. - A/D 변환기는 아날로그 시스템과 디지털
  • 페이지 20페이지
  • 가격 2,000원
  • 등록일 2008.12.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
A/D 변환기로 바꿀 수 있는 완전한 D/A변환기이다. D/A변환기에서와 같이 A/D변환기에도 분해능, 비선형성과 같은 Spec이 사용된다. A/D변환기의 또 하나의 중요한 파라미터는 아날로그 입력을 디지털 출력으로 바꾸는데 걸리는 변환시간이다. 
  • 페이지 6페이지
  • 가격 1,500원
  • 등록일 2004.07.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
디지털 방식은 내부에 A/D변환기가 있어 이것을 처리하여 주는 하드웨어가 구성되어 있습니다. 그러기에 적색이 + 흑색이-가 되어 그래서 쉽게 적용이 되는 것입니다. 1) 각부 기능 설명 ① 트랜지스터의 검사 소켓 : 검사 소켓은 E, B, C, E 순으
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2011.11.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털화에는 고속처리가 요구되기 때문에 A/D변환기는 그림2.5의 병렬비교(flash)형이 주로 사용된다. 전압비교기(voltage comparator) 중 하나의 입력은 기준전압이고 각 비교기는 항상 입력 아날로그 신호와 전압비교를 한다. 지금 입력전압(Va)이 V
  • 페이지 7페이지
  • 가격 1,800원
  • 등록일 2012.04.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 4건

회로 KA4558 (Dual Operational Amplifier) 그림 46. 4558 데이터 시트 5.2 Clean Boost - 클린 부스트 그림 47. Clean Boost 전체 회로 5.3 Fuzz - 퍼즈 그림 48. Fuzz 전체 회로 5.4 Delay - 딜레이 그림 49. Delay 전체 회로 PT2399 (Single Chip Echo Processor IC) 그림 50. PT2399 PIN 배
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
A/D 변환기 비동기 직렬 통신(UART) 별도의 프리스케일러와 PWM 기능이 있는 2개의 타이머/카운터 별도의 프리스케일러와 비교 캡쳐, PWM(8, 9, 10비트) 기능이 있는 16비트 -타이머/카운터 On-Chip 아날로스 비교기 내부 오실레이터로 동
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
회로 22 <그림 5> HVDC송전과 HVAC송전의 경제성 비교 23 <그림 6> 국가별 수출 현황 30 <그림 7> 국가별 수입 현황 31 <그림 8> 자체기술개발시 문제점 34 <그림 9> 기술개발에 대한 정부의 지원정책 35 <그림 10> 기술개발의 추진방법 35 <그림 11> 가
  • 페이지 48페이지
  • 가격 10,000원
  • 발행일 2009.05.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
아날로그 신호값들을 통신 회로를 통해 실시간으로 모니터링 할 수 있게 한다. <그림 4-16> 시스템 구성도 4.5.3 시뮬레이션 ○ 모터의 파라미터 선정 정격용량() = 19.9[kW] 정격전압() = 260[V] 정격전류() = 90[A] 정격속도() = 1850[rpm] 1850×=193.728[ra
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 6건

아날로그/디지털 회로의 분석과 설계 등에 대해 공부해 왔습니다. 그 중에서도 시스템에 대한 전반적인 분석에 흥미가 있습니다. 지도교수님이셨던 임화영 교수님께서는 진정한 엔지니어가 되기 위해서는 어떠한 복잡한 회로나 시스템이라
  • 가격 1,200원
  • 등록일 2005.12.27
  • 파일종류 한글(hwp)
  • 직종구분 전문직
s에 학술탐방을 다녀왔습니다. 이곳에서 웨이퍼가공장비, 생산 실험용 테스트 장비, 제품(검사, 측정, 계측), 박막 제조 장비에 관련된 심화된 공부를 할 수 있었습니다. 또한 전공수업을 통해서 아날로그 및 디지털 회로를 학습했고 연구 활동
  • 가격 3,000원
  • 등록일 2023.06.15
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
디지털 신호로 변환할 수 있는 아날로그 기술이 점점 중요해지게 되었습니다. 아날로그 회로 설계에서 가장 중요한 점은 소모 전력입니다. 전자 제품의 크기는 줄이면서 동작 속도를 높이는 동시에 사용시간을 늘리기 위해서는 앞으로 더욱
  • 가격 2,000원
  • 등록일 2019.07.21
  • 파일종류 한글(hwp)
  • 직종구분 기타
디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더욱 발전시켜나간다면 LG전자에
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논문을 검색하여 기존 회로에서 개선된 기능들을 설계하는 즐거움도 가졌습니다. 뿐만 아니라 CMOS 아날로그 전자회로 설계를 공부하면서 간단한 필터, 증폭기 등을 FULL
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top