• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 993건

및 hold 시간, , 와 ,에 대해 재료값을 조사하고 그 정의를 제출한다. ▶ 74LS73 JK 플립플롭   ≪ 그 림 ≫ 9장 예비 레포트.hwp………………………………………………………7p 아날로그 및 디지털 회로 설계 실습 -예비레포트- 10. 4-bi
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
표 ≫  ≪ 표 ≫ 10장 예비 레포트.hwp…………………………………5p 아날로그 및 디지털 회로 설계 실습 -예비레포트- 10. 4-bit Adder 설계 1. 목적 2. 설계실습 계획서 전자신문.hwp……………………………………………2p
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
.hwp…………………………………10p 아날로그 및 디지털 회로 설계 실습 -예비레포트- 7. 위상 제어 루프(PLL) 1. 실습목적 2. 설계실습 계획서 퀀텀닷 디스플레이.hwp…………………………………2p <퀀텀닷 디스플레이> <느낀점>
  • 페이지 12페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로도>    ≪ 표 ≫ < NAND 게이트 진리표>   ≪ 그 림 ≫ < NAND 게이트 출력파형> 예비 레포트8장.hwp…………………………………7p 아날로그 및 디지털 회로 설계 실습 -예비레포트- 8. 논리함수와 게이트 1.
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
예비 레포트 5장.hwp 아날로그 및 디지털 회로 설계 실습 -예비레포트- 5. 신호발생기 1. 실습목적 Wien bridge RC 발진기를 이용하여 신호 발생기를 설계, 제작, 측정하며 그 동작을 확인한다. 2. 설계실습 계획서 5-3-1신호발생기 설계
  • 페이지 12페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 14건

및 소형화가 유리하다는 장점을 갖고 있기 때문이다. 또한 BLDC 모터는 변속제어가 용이하다는 특징으로 많은 분야에서 그 수요가 날로 증가하고 있다. 이 논문을 통해 앞으로 더 유용하게 사용될 BLDC 모터에 대한 고찰에 유용하게 사용되어질
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로와 밴드이론에 의한 발광현상 .............. 11 [그림 2-4] LED DC current의 증가에 따른 광도(luminous intensity) . 12 [그림 2-5] 브리지 정류회로에서의 파형 변화 ..................... 13 [그림 3-1] AC to DC Converter의 회로도 .................. 14 [그림 3-2] 적외
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 과정 3장. 개념설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2절 관련기술분석 및 하드웨어 설계 3장. 2절. 1 전체회로도 3장. 2절. 2 MCU(ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포트를 제외한 나머지 Pin 3장. 2절. 5 DS1302
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 및 설명   2.5.1 Distortion - 디스토션   2.5.2 Overdrive와 Distortion의 차이   2.5.3 Clean Boost - 클린 부스트   2.5.4 Fuzz - 퍼즈   2.5.5 Delay - 딜레이   2.5.6 추가 옵션   2.5.7 앰프부 - Mini Amp   2.5.8 임피던스   2.5.9 앰프부 -
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 49건

설계하여 심화된 역량을 길렀습니다. 특히 프로그래밍의 재미를 느꼈던 ‘디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
아날로그 및 디지털 회로를 학습했고 연구 활동을 진행하면서는 여러 가지 센서를 통한 회로설계와 논문작성을 하였습니다. 둘째, 저는 프로그래밍 언어 툴 사용에 익숙합니다. 소모임 활동을 통해 C언어교육을 받았고 새롭게 들어오는 후배
  • 가격 3,000원
  • 등록일 2023.06.15
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
및 Synopsys Custom Compiler를 활용하여 과제를 수행한 경험이 있습니다. 그 외에도 IDEC등 외부 강의를 수강하며 회로설계 및 반도체 8대 공정에 대해 지식을 쌓았습니다. 현재는 학부 수업을 들으면서 VLSI회로설계, 아날로그 집적회로, 반도체 프로
  • 가격 3,000원
  • 등록일 2023.02.21
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
설계에 관한 기초지식과 설계 툴 사용에 관한 스터디를 하였고 다양한 회로에 대한 세미나를 열었습니다. 그리고 방학을 통해 개설되는 다양한 강좌를 수강하고 실습을 하면서 역량을 키울 수 있었습니다. 이러한 연구실 활동 속에서도 전공
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top