• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,262건

s that are a good test for the priority function it performs. library IEEE; use IEEE.std_logc_1164.all; entity priority is port(D: in std_logic_vector(3 downto 0); A : out std_logic_vector(1 downto 0); V : out std_logic); end priority; architecture structural of priority is begin V <= '0' when D=
  • 페이지 5페이지
  • 가격 800원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
_logic; Q : out std_logic ); end component; signal D_in, c, Q_out : std_logic_vector (3 downto 0) begin C(0) <= EN; C(1) <= C(0) and Q_out(0); C(2) <= C(1) and Q_out(1); C(3) <= C(2) and Q_out(2); CO <= C(3) and Q_out(3); D_in(0) <= C(0) xor Q_out(0); D_in(1) <= C(1) xor Q_out(1
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
구조론 /박덕규 저/민성사 http://home.cein.or.kr/%7Egoto33/doc3/ed3202.htm http://my.dreamwiz.com/ezfree/th/piage.htm Ⅰ.수학적 경험과 Ⅰ.수학적 경험과 반영적 추상화---------------1p Ⅱ.피아제 이론의 교수학적 측면에서의 고찰 Ⅲ.피아제의 지적 구조이
  • 페이지 6페이지
  • 가격 1,500원
  • 등록일 2006.10.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
◎ 한규희, 디지털전자회로, 크라운 출판사 ◎ H. E. West, CMOS VLSI 설계의 원리 Ⅰ. MOS의 원리 Ⅱ. MOS의 제조공정 Ⅲ. CMOS의 원리 Ⅳ. CMOS의 인터페이스 1. CMOS와 TTL의 interface 2. TTL과 CMOS의 interface Ⅴ. 논리계열의 특징 참고문헌
  • 페이지 4페이지
  • 가격 5,000원
  • 등록일 2009.08.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리 2. 기술개발과 사용과정에 사회의 영향이 결정적 3. 체제유지를 위한 정치적 이용가능성 존재 4. 신기술의 개발?선택?사용과정에 대한 적극적인 개입 필요 Ⅱ. 정보란 1. 물리학적 입장 2. 사회학적 입장 3. 기호론 Ⅲ. 정보화란
  • 페이지 14페이지
  • 가격 6,500원
  • 등록일 2008.04.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이론구성의 필요성이 있다 할 것이다. 특히 디지털인터넷 시대에 있어서 “정보공유”의 문제 역시 이에 대한 기본적인 이해를 바탕으로 논의되어야 할 것이며, 올바른 지식정보사회의 유지와 발전을 위해서는 그것이 갖는 순기능적인 역할
  • 페이지 20페이지
  • 가격 2,000원
  • 등록일 2010.07.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
디지털 논리와 마이크로 프로세서 설계』. (2021, 2판). 북스힐. 차재관. 「아두이노 기반 코딩 교육 프로그램 개발 연구」. 스마트미디어저널. 6.4. (2017). 72-78. 이형로, 인치호. 「최적의 스마트 홈 제어 시스템 설계 및 구현」. 한국인터넷방송
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2024.05.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
강의 순서 Definition of VHDL What & Why HDL? HDL의 종류 VHDL’s History Benefits of VHDL Design Automation 디지털 논리회로의 설계환경 변천 Design Flow 2.개발환경의 이해 및 실습 - 강의순서 Design Entry Project Compilation Project Simulation Device Programming
  • 페이지 54페이지
  • 가격 3,000원
  • 등록일 2006.11.27
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
디지털콘테츠학회논문지, 2021, 22(7), (2) 손용기, 김지은, 조일연, “웨어러블 컴퓨터 기술 및 개발 동향”, 전자통신동향분석, 2008년 10월호, 23(5) (3) 김대건, “웨어러블 디바이스(Wearable Device) 동향과 시사점”, 정보통신방송정책, 2013, 25(21) (4)
  • 페이지 7페이지
  • 가격 3,700원
  • 등록일 2022.09.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이론과 사례, 2001 프라자 호텔의 CRM 활용 사례 프라자 호텔 CRO 인터뷰 내용(2005.03.31) 서론 - CRM이란?…………………………………………………………2  1. CRM의 개념…………………………………………………………2  2. CRM의 등장배경
  • 페이지 36페이지
  • 가격 3,600원
  • 등록일 2013.01.31
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top