• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 40건

Type: Using a Familiarity of Nouns Scale..\" Communication Sciences and Disorders 20.1 (2015) 2. 실어증 환자를 위한 의미자질 이름대기 중재 효과에 관한 메타 분석.오세진, 엄보라, 박채원, 성지은.(2016).이화여자대학교 언어병리학과 3. A critical look at PACE therapy.(G.Al
  • 페이지 9페이지
  • 가격 2,000원
  • 등록일 2023.05.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Entity Relationship model 1.2.1 E-R 모델의 그래픽 표현 개체 타입 (entity type) 관계 타입 (relationship type) 속 성 (attribute) 링 크 (link) 레이블(label) 관계의 사상, 원소수를 표현 일대일(1:1), 일대다(1:n), 다대다(n:m) ERD의 예 1.2.2 E-R 모델의 다른 표현 1) 속성의
  • 페이지 17페이지
  • 가격 2,200원
  • 등록일 2008.09.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
associative entity type indicators) ER 다이어그램에 관련된 특별한 표기법으로 결합 개체 타입 표시자가 있다. 결합 개체 타입 지시자는 개체(entity)로서도 동작하고 동시에 관계(relationship)로서도 동작하는 것을 나타내기 위해 사용된다. 즉 결합 객체
  • 페이지 13페이지
  • 가격 1,500원
  • 등록일 2009.05.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1. 다음 용어를 간단히 설명하시오. (1)개체(entity)와 개체 타입(entity type) 개체는 독립적으로 존재하는 기본적인 대상으로 학생, 교수 등처럼 물리적으로 실재 존재하는 대상일 수도 있고, 강좌, 학과, 학번처럼 개념적으로 존재할 수도 있다.
  • 페이지 12페이지
  • 가격 18,000원
  • 등록일 2023.09.05
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
스타일쉬트(CSS)와 XHTML 7. XHTML의 추가적인 기능 7-1.문서 프로화일(profile) 7-2.글자 세트(entity sets) 7-3.lang과 xml:lang 애트리뷰트들 7-4.부위 인식자(Fragment Identifier) 8. XHTML에 관한 자료모음 9. 참고사이트 10. 참고 서적
  • 페이지 15페이지
  • 가격 2,500원
  • 등록일 2005.06.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 2건

type=&page=&fccode=&cocode=&book_id_list=&genre_list=&genre_id_list=&contents_type=&noun_type=&option=&query=&keywordtext=%ED%86%A0%EB%81%BC&sobj=0&scon=&startdate=&enddate=&previous=&contents_type=&noun_type=&option=&custody=&classfy1=&classfy2=&div_id=&loc_id=&sp_name=&donor_name=&recoder=&area_co
  • 페이지 24페이지
  • 가격 5,000원
  • 발행일 2011.06.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);     signal state : state_type;     signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);     signal key_d,key_det : std_logic;     signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;     signal save1,save2,save3,save4 : std
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
top