• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 30건

HD74HC14P 소자를 사용한다. 3번과 6번 핀으로는 증폭된 PWM 신호가 나오므로 3번과 6번 핀은 DC Motor와 연결해 준다. 1. Preparation for Experiment (1) Hardware Circuit ② PWM Amplifier - SN754410 사용 ③ Optimal Encoder ④ Encoder Detection Logic ⑤ Microcontroller
  • 페이지 5페이지
  • 가격 2,300원
  • 등록일 2012.08.31
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Logic_1164.all; entity test_enco_be is end test_enco_be; architecture test_enco_be_arc of test_enco_be is -- 테스트에 이용 될 시그널 선언 signal EN1 : std_logic; signal D1 : std_logic_vector(7 downto 0); signal x1 : std_logic_vector(2 downto 0); component encoder_be -- 테스트 할 대상인 encoder의
  • 페이지 7페이지
  • 가격 1,500원
  • 등록일 2017.06.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Logic Gate 3. Simulation 4. Picture (첨부 #1 BCD Adder.avi & Encoder.avi) 5. Etc Chapter 1에서의 BCD 덧셈에 대해서는 알고 있었지만 막상 회로를 그려서 BCD 덧셈에 대해서 나타내려 하니 어려웠습니다. 회로도에서도 마치 C언의 if 문과 같은 역할을 하는 논리 게
  • 페이지 8페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
logic_1164.all; use ieee.numeric_std.all; entity multi is port( a : in unsigned (1 downto 0); b : in unsigned (1 downto 0); result : out unsigned (3 downto 0)); end entity; architecture arc of multi is begin result <= a * b; end arc; Decoder(4bit BCD) Multiplexer(8비트) Encoder(4bit)
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2011.11.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Detection System by Combining Fuzzy Logic with Genetic Algorithm\", Global Journal of Pure and Applied Mathematics (GJPAM), vol. 11, no. 1, 2015 [Online]. Available: http://ripublication.com/gjpamspl/gjpamv11n1spl_20.pdf. [Accessed: 09- Feb- 2016] [8] O. Oriola, A. Adeyemo and A. Robert, \"Distribu
  • 페이지 8페이지
  • 가격 3,000원
  • 등록일 2020.09.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top