|
LCD(2,line_two);//바뀐 내용을 LCD 에 출력
}
♨ 실험 분석
이번 실험은 타이머 인터럽트를 이용해서 LCD에 시계를 구현 시키는 것이였다. LCD 첫 번째 줄에는 위 실험을 이용해서 *lcdc=0x80 에 “LCD CLOCK DESIGN\"을 출력하면 되었다. 문제는 타이머 인터
|
- 페이지 8페이지
- 가격 1,000원
- 등록일 2006.06.01
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
프로그램의 flow chart
▶디지털시계 작동 결과물
~별도 첨부 <타이머/카운터를 이용한 디지털시계 설계>
▶이론 및 배경
▶타겟 보드(ATmega128 보드)설명 및 회로도 첨부
▶프로그램 소스파일 분석
▶전체 프로그램의 flow chart
|
- 페이지 10페이지
- 가격 1,500원
- 등록일 2011.12.17
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
STATES
JMPONOFF
CHANGE8: MOVA, #0CH
CALLLCD_CMD
RET
$INCLUDE(LCD.INC)
ORG5000H
STR1:DB\'OFF\'
STR2:DB\' ON\'
STR3:DB\'ALARM\'
STR4:DB\' \'
STR5:DB\'WATCH MODE\'
STR6:DB\'ALARM MODE\'
JONG:DB04H, 0EH, 0EH, 0EH, 1FH, 00H, 04H, 00H
END 1.문제
2.분석
3.설계
4.고찰
5.코딩
|
- 페이지 19페이지
- 가격 2,000원
- 등록일 2006.12.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
entity div1 is
port(
clk: in std_logic;
in_data: in integer range 0 to 999;
o_data: out std_logic_vector(15 downto 0);
--o_data_100: out std_logic_vector(3 downto 0);
--o_data_10: out std_logic_vector(3 downto 0);
--o_data_1: out std_logic_vector(3 downto 0);
o_cmplt: out std_logic
);
end div1;
arch
|
- 페이지 50페이지
- 가격 3,500원
- 등록일 2007.12.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
LCD (Liquid Crystal Display)
-DLP™ (Single chip) - Digital Light Processingtal Light Processing
-DLP™ (Three-chip) - Digital Light Processing
-CRT- Cathode Ray Tube
2.<기타 디스플레이 방식>
-Plasma Display Panel (aka Flatscreen TV)
-LCD Television - Liquid Crystal Display
- LCoS - Liquid
|
- 페이지 20페이지
- 가격 2,000원
- 등록일 2006.06.02
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|