• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,023건

LCD(2,line_two);//바뀐 내용을 LCD 에 출력 } ♨ 실험 분석 이번 실험은 타이머 인터럽트를 이용해서 LCD에 시계를 구현 시키는 것이였다. LCD 첫 번째 줄에는 위 실험을 이용해서 *lcdc=0x80 에 “LCD CLOCK DESIGN\"을 출력하면 되었다. 문제는 타이머 인터
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2006.06.01
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
프로그램의 flow chart ▶디지털시계 작동 결과물 ~별도 첨부 <타이머/카운터를 이용한 디지털시계 설계> ▶이론 및 배경 ▶타겟 보드(ATmega128 보드)설명 및 회로도 첨부 ▶프로그램 소스파일 분석 ▶전체 프로그램의 flow chart
  • 페이지 10페이지
  • 가격 1,500원
  • 등록일 2011.12.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
STATES JMPONOFF CHANGE8: MOVA, #0CH CALLLCD_CMD RET $INCLUDE(LCD.INC) ORG5000H STR1:DB\'OFF\' STR2:DB\' ON\' STR3:DB\'ALARM\' STR4:DB\' \' STR5:DB\'WATCH MODE\' STR6:DB\'ALARM MODE\' JONG:DB04H, 0EH, 0EH, 0EH, 1FH, 00H, 04H, 00H END 1.문제 2.분석 3.설계 4.고찰 5.코딩
  • 페이지 19페이지
  • 가격 2,000원
  • 등록일 2006.12.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
entity div1 is port( clk: in std_logic; in_data: in integer range 0 to 999; o_data: out std_logic_vector(15 downto 0); --o_data_100: out std_logic_vector(3 downto 0); --o_data_10: out std_logic_vector(3 downto 0); --o_data_1: out std_logic_vector(3 downto 0); o_cmplt: out std_logic ); end div1; arch
  • 페이지 50페이지
  • 가격 3,500원
  • 등록일 2007.12.06
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
LCD (Liquid Crystal Display) -DLP™ (Single chip) - Digital Light Processingtal Light Processing -DLP™ (Three-chip) - Digital Light Processing -CRT- Cathode Ray Tube 2.<기타 디스플레이 방식> -Plasma Display Panel (aka Flatscreen TV) -LCD Television - Liquid Crystal Display - LCoS - Liquid
  • 페이지 20페이지
  • 가격 2,000원
  • 등록일 2006.06.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 11건

atmega128을 이용한 디지털시계구동 대회에서 최우수상을 수상한 작품입니다. 아래의 주소에 동작 동영상이 링크되어 있습니다. http://minihp.cyworld.com/54429847/329258077 구현기능으로는.... 1) 시간모드 - 현재 시간 출력 - 처음 시작
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
시계”는 PIC8051를 이용해서 알람시계를 구현한 것인데 시간을 시각적으로 표현해 줄 수 있는 16*2 LCD와 알람이 울리게 될시 소리를 나게 해주는 부저, 도망가는 것을 구현하기 위한 모터, 모터를 PIC8051로 스위칭하기 위한 릴레이 등으로 구성
  • 페이지 31페이지
  • 가격 3,000원
  • 발행일 2014.12.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털재화라는 구체상품의 노동투입이 ‘0’ 또는 ‘0’에 근접하는 것으로써 평균을 구성하는 하나의 단위라는 것이다. 그러나 류동민의 말대로라면 소위 ‘추상노동’이라는 것이 상대개념인 ‘구체노동’에 비해 노동시간의 양적 비교
  • 페이지 65페이지
  • 가격 3,000원
  • 발행일 2008.07.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
LCD의 개발 및 생산 , OLED의 연구개발에 라인을 활용하고 있다. ※ References ※ WebSite http://www.toppoly.com/toppoly/Technology/LTPS http://www.samsungsdi.com http://tftlcd.khu.ac.kr/facilities/LTPS.html http://www.dig-m.com/digital http://www.kps.or.kr/~pht http://blog.naver.com/limnico http://
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2010.01.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 전자액자는 기존의 액자와는 외형 면에서 비슷하지만 유리 대신 LCD 액정을 채용하고 컴퓨터와 연결해 사진을 볼 수 있는 제품이다. 몇 년 전 디지털 전자액자가 처음 대중에게 선보일 당시에는 액자의 외형으로 액정을 통해 사진을 보
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 8건

OO년 3월부터 11월까지 전자회로 학회 활동을 했습니다. 프로젝트 주제로 디지털시계와 다운로드기 설계를 부여받았고, 이것을 설계하였습니다. 그리고 현재는 자동주행 로봇 제작을 진행하고 있습니다. 시중에 출시된 제품과 차별성을 두는
  • 가격 1,400원
  • 등록일 2009.05.02
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
디지털 시계를 만들어 볼 수 있었습니다. 개인적으로 그래픽에 관심이 많아서 포토샵, 플래쉬, 일러스트를 책을 보고 따라하면서 시디 케이스를 직접 제작하여 친구에게 선물을 한 적도 있습니다. ◈ 지원동기 및 포부 제가 귀사에 지원하게
  • 가격 1,200원
  • 등록일 2010.03.26
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
2. 성격의 장단점 어떠한 일에도 좌절하지 않고 늘 새로운 일을 추구하는… 3. 지원동기 디지털 산업 시장의 핵심인 TFT-LCD분야의 영역 확장을 위해… 4. 입사 후 포부 시대는 빠르게 변화하고 있으며, 불확실한 미래에 대한…
  • 가격 1,800원
  • 등록일 2013.11.15
  • 파일종류 한글(hwp)
  • 직종구분 전문직
2. 성격의 장단점 어른을 공경하고 형제간에 우애 있고 사회생활에 모나지… 3. 지원동기 세계로 뻗어가는 디지털 세상의 핵심이 되는 TFT-LCD컴퍼니로서… 4. 입사 후 포부 지난 회사에서 체득한 소중한 업무경험과 인간관계를…
  • 가격 1,800원
  • 등록일 2013.11.15
  • 파일종류 한글(hwp)
  • 직종구분 전문직
LCD 08. LG CNS 09. LG DD 10. CJ주식회사 (설비운영) 11. 하이닉스 (공정개발) 12. GS 칼텍스(전기직) 여천 13. LG 화학(생산기술(기획)청주/오창) 14. 현대중공업-현대중공업/삼호중공업 15. 대우중공업 DSME 16. STX조선 17. 삼성 탈레스 18. 한화/화약
  • 가격 5,000원
  • 등록일 2014.08.12
  • 파일종류 한글(hwp)
  • 직종구분 기타
top