 |
3)
{
KEY_SCAN = (KEY_SCAN & ~KEY_MASK) | (~KEY_PORT & EY_MASK);
SCAN_POS = 0;
}
}
나) Key Down 상태를 Check
static char SetKeyDown(void)
{
nKeyDn = nDn2;
nDn2 = nDn1;
nDn1 = KEY_SCAN;
nPass = nDn1 & nDn2;
nKeyDn = (nPass ^ nKeyDn) & nPass
if(nKeyDn)
{
// 해당 Key로 Switch~case 문 전환
}
return 0;
}
|
- 페이지 29페이지
- 가격 30,000원
- 발행일 2009.12.07
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
 |
door_lock.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity door_lock is
port(
clk : in std_logic;
sw1,sw2 : in std_logic;
key1 : in std_logic;
clear : in std_logic;
|
- 페이지 51페이지
- 가격 3,000원
- 발행일 2012.06.24
- 파일종류 기타
- 발행기관
- 저자
|
 |
1. introduction of loreal
Worldwide leader in cosmetics
27 global brands
5 key expertises: hair care, hair color, skincare, make-up, fragnances
67,662 employees worldwide
? 17,2 billion consolidated sales in
2008
-> market share: 15.8%
Products: 130 countries
2. industrial analysis (5 f
|
- 페이지 20페이지
- 가격 2,000원
- 발행일 2010.01.11
- 파일종류 피피티(ppt)
- 발행기관
- 저자
|
 |
Key Data on VET in the EU, CEDEFOP.
Culpeller, P. & Finegold, D. (eds.), 1999, The German Skills Machine, Berghahn Books.
Crouch, C., Finegold, D. & Sako, M. (eds.), 1999, Are Skills the Answer?, Oxford University Press.
ILO(2002). Key Indicators of Labor Market.
OECD, 2000, From Initial Education t
|
- 페이지 27페이지
- 가격 4,000원
- 발행일 2004.10.23
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
 |
key process.
And also, this thesis includes the study on conversion efficiency characteristics of CIGS photovoltaic cell, which has been developed recently by KIER(Korea Institute of Energy Researsh) Solar Cell Research center.
Key word : low-priced, high-efficiency, thin film photovoltaic cell, con
|
- 페이지 52페이지
- 가격 3,000원
- 발행일 2009.02.03
- 파일종류 한글(hwp)
- 발행기관
- 저자
|