• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 718건

ee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity TB_ALU is end TB_ALU; architecture TB of TB_ALU is component ALUport( sel : in std_logic_vector(4 downto 0); A, B : in std_logic_vector(7 downto 0); C_in : in std_logic; Y : out std_logic_vector(7 downto 0)); end component; signal sel
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
5장 Fuzzy Logic Toolbox Fuzzy Logic Toolbox Fuzzy Logic Toolbox는 MATLAB 환경 하에서 Fuzzy Interface System을 생성하고 수정하기 위한 함수들의 모음으로서, 다음 세가지 범주에서 사용이 가능합니다. • MATLAB 명령어 중심의 함수 및 실행 • GUI 환경의
  • 페이지 26페이지
  • 가격 2,000원
  • 등록일 2011.01.04
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Control Logic Unit, 베릴로그, 결과파일, 소스 결과파일만있고, 소스 모두 있습니다 CPU할때 사용되는 logic 입니다. mkCPU.v 2.07KB 결과.doc…………………………2p ◎ 실험결과  >> Control Logic Unit ( mkCPU.v )   - 결과파형  >> 고찰
  • 페이지 3페이지
  • 가격 800원
  • 등록일 2008.11.28
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
logical shift의 결과값이다. right shift가 이루어진 후, MSB에 0이 추가된 것을 알 수 있다. 두 번째 결과값은 sign값이 1인, arithmetic shift의 결과값이다. right shift가 이루어진 후, MSB에 1이 추가된 것을 알 수 있다. 실험 ① 128 to 4 MUX의 시뮬레이션 결
  • 페이지 3페이지
  • 가격 2,000원
  • 등록일 2011.10.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
half word to word) unsigned> <op=15(flag set)> <op=16(move byte)> <op=17(move half word)> 실제로 결과값을 살펴보면 모든 연산이 정확함을 확인할 수 있다. ① Arithmetic Logical Unit의 시뮬레이션 결과 ① Arithmetic Logical Unit의 설계
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2011.10.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 8건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
Logical Foundation of Constitutional Democracy. Ann Arbor: University of Michigan Press. Fiorina, Morris. 1989. "Is Negative Voting an Artifact?" American Journal of Political Science vol. 33, pp.423-39. Green Donald and Ian Shapiro. 1994. Pathologies of Rational Choice Theory: A critique of applic
  • 페이지 13페이지
  • 가격 2,000원
  • 발행일 2010.06.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
logic of Space, Cambridge University Press. Jaskiewicz, F., 2000, “Pedestrian Level of Service Based on Trip Quality”, Transportation Research Circular, TRB. Jonathan, Byrd, and Sisiopiku, Virginia P., 2006, “Comparison of Level of Service Methodologies for Pedestrian Sidewalks”, TRB Annual Meeting.
  • 페이지 66페이지
  • 가격 5,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Logic and Flexible Production Systems in the World Auto Industry Ulrich Jurgens, Thomas Malsch and Knuth Dohse(1997), Breaking from Taylorism, Cambridge Ⅰ. 서론 Ⅱ. 한국 자동차산업 노사관계의 현황과 이슈 1. 한국 자동차산업 노사관계의 구조적 특성 2. 구조조정기 자
  • 페이지 32페이지
  • 가격 4,000원
  • 발행일 2005.10.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Logic of architecture』. MIT press.1990. 2. Juan Pablo Bonta, 서유석 역. 『建築의 表現體系(Architecture and its Interpretation)』. 기문당. 1999. 3. Laseau Paul, 이용재 역. 『디자인개념(Graphic thinking for architects and designer)』. 국제. 1988. 4. Edward T. White, 이용재 역. 『건
  • 페이지 19페이지
  • 가격 2,000원
  • 발행일 2007.09.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 63건

Logic 설계 관련 경험과 이를 통해 얻은 역량을 구체적으로 서술해 주세요. 2. 동부하이텍 DDI Logic 설계 직무에 지원하게 된 동기와 해당 직무에 적합한 본인의 강점을 설명해 주세요. 3. 과거 프로젝트 또는 경험에서 문제를 해결했던 사례를
  • 가격 3,000원
  • 등록일 2025.05.06
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
Logic(EPS) 분야에 지원하게 된 동기를 구체적으로 서술해 주세요. 2. 본인의 기술적 역량이나 경험이 만도 S W Control Logic(EPS) 개발에 어떻게 기여할 수 있다고 생각하는지 설명해 주세요. 3. 팀 내에서 협업하거나 문제를 해결했던 경험을 구체
  • 가격 3,000원
  • 등록일 2025.05.08
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
Logic 설계의 선두주자로 자리잡는 목표를 세우겠습니다. HL Klemove에서의 경력을 통해 ADAS Logic 설계 분야의 전문가로 성장하고, 회사와 함께 발전할 수 있기를 희망합니다. 이 모든 노력이 모여 HL Klemove의 비전과 목표를 달성하는 한 축이 되고,
  • 가격 3,000원
  • 등록일 2025.05.25
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
Logic 설계 Engineer 자기소개서 1. 본인의 경력과 경험이 HL Klemove R&D ADAS Logic 설계 Engineer 역할에 어떻게 부합하는지 구체적으로 서술하시오. 2. ADAS 또는 관련 분야에서 해결했던 기술적 문제와 그 해결 과정을 상세히 설명하시오. 3. 팀 프로
  • 가격 3,000원
  • 등록일 2025.04.29
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
Logic 설계 Engineer 자기소개서 1. 본인이 ADAS Logic 설계 분야에 지원하게 된 동기와 관련 경험을 구체적으로 서술하시오. 2. 이전 직무 또는 프로젝트에서 문제를 해결하거나 성과를 낸 사례를 통해 본인의 역량을 보여주시오. 3. 팀 내 협업
  • 가격 3,000원
  • 등록일 2025.04.29
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top