• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,700건

시뮬레이션 1) flow summary 2) waveform 3) time analyzer summary 3. 블록다이어그램 1) 게이트 2) 블록 ◆ 다중 if library ieee; use ieee.std_logic_1164.all; entity comif is port(a,b,s1,s0 : in bit; y : out bit); end comif; architecture sample of comif is begin process(a,b,s0,s1) begin if(s1 =\'1\') th
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계도 여러 가지에 다 적용하는 것이 아니라 단순한 하드웨어 설계는 기존의 것으로 설계를 하고 복잡한 레벨일 경우에만 사용하자는 것이다. 조그마한 플립플럽을 만들때 이것을 사용한다면 아마 웃을 것이다. 참 고 문 헌 [1] VHDL 기초와
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL에 관하여 간략하게 알아보았다. 이번 숙제를 통하여 VHDL에 대한 배경부터 장점과 단점, 다른 언어와의 비교, 쓰이는 곳과 VHDL의 기본요소까지 확실하게 알 수 있었다. 추가로 이번 디지털회로설계와 깊은 연관이 있는 VHDL. 확실하게 익혀야
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계를 하였다. 스키메틱이 아닌 VHDL의 코딩으로 회로를 설계 하였는데, 처음 과제를 받았을 때 어떤식으로든 설계가 가능하다고 하셔서 Behaviral로 ALU를 설계해 갔었다. 지금 코딩한 스트럭쳐보다 상위 언어인 Behaviral로 코딩을 하면 중간의 과
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하였다. 직접 기판과 킷을 이용하여 ATM 기기를 설계하진 않았으나, 시뮬레이션으로 ATM기의 동작 특성을 담고 있는 가상 모형을 설계하였다. 처음 주제를 정하고 프로젝트에 임했을 때, 수업 때 소스 코드의 일부분을 받아서 과제를 했을
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 30건

시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로봇이 물건을 원하는 위치에 옮기는 동작에서 로봇의 그립이 먼 거리에 있을 때와 가까이 있을 때 분해능이 다르기 때문에 먼 거
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
시뮬레이션을 통해 최적의 휜 형상을 판단해야한다. 실질적인 완제품 설계 시 적용될 수 있는 휜의 개수에 따른 온도변화를 알아내기 위해 완전 제품 설계 시 온도 변화 및 열 플럭스(heat-flex)변화를 시뮬레이션 통해 비교, 분석하였다. 실험결
  • 페이지 42페이지
  • 가격 10,000원
  • 발행일 2011.12.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 80 Verilog-HDL을 이용한 설계 20 Simulink를 이용한 시뮬레이션 20 Simulink를 이용한 시뮬레이션 80 <표5-2. 비용> 항목 세부항목 소요비용 재료비 시제품가공비 기타 경비 목차 1장. 작품과제 필요성 2장. 작품과제 해결 방안 및 과정 3
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
yield tiny hairpin-line resonator filter\", MW&RF November 1999 [5] 곽우영,박진우, “ Hairpin Line 여파기의 간단화된 등가회로”, 한국통신학회논문지 99-9 Vol.24 N0.9A Ⅰ. 서 론 Ⅱ. 설계 이론 Ⅲ. 설계 및 시뮬레이션 Ⅳ. 제작 및 측정 Ⅴ. 결 론
  • 페이지 5페이지
  • 가격 2,000원
  • 발행일 2008.11.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 672건

설계 변경이나, 개폐 시 동작 속도 완충 장치를 도입하는 방식으로 개선할 수 있다고 생각합니다. 7. 향후 어떤 설계자가 되고 싶나요? 제품의 핵심 기능을 이해하고, 고객의 요구를 기술 언어로 구체화할 수 있는 설계자가 되고 싶습니다. 일
  • 가격 4,000원
  • 등록일 2025.04.22
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
언어 소통 능력을 활용해 이러한 전략에 기여하고 싶습니다. 6) 본인의 강점과 약점을 직무와 연결해 말씀해 주세요. 강점은 제어·통신 융합 연구 경험과 시뮬레이션 검증 역량입니다. 이는 열차제어시스템 설계에 직접적으로 기여할 수 있습
  • 가격 4,000원
  • 등록일 2025.08.25
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계와 데이터 분석을 담당하며, 센서 데이터의 품질을 개선하고 공정 흐름을 최적화하는 방안을 모색했습니다. 또한, 프로그래밍 언어와 시뮬레이션 소프트웨어를 활용해 자동화 시스템의 효율성을 분석했고, 반복적인 테스트와 개선 작업
  • 가격 2,500원
  • 등록일 2025.06.02
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
알고리즘을 개선해보고 싶습니다. 3년 차에는 현장 시험 및 양산 차량에 적용 가능한 제어 알고리즘 패키지를 개발하여 실차 적용에 기여하고 싶습니다. 2025 현대로템 디펜스 솔루션_제어설계(하이브리드) 자기소개서 자소서 및 면접
  • 가격 4,000원
  • 등록일 2025.06.12
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
가류사양을 자동 추천하는 시스템을 개발하고 싶습니다. 또한, 고주파 또는 마이크로웨이브 방식과 같이 에너지 효율을 극대화하는 신형 가류 시스템 개발에도 도전해 보고 싶습니다. 금호타이어 가류사양 설계-개발 자기소개서 지원서
  • 가격 4,000원
  • 등록일 2025.04.14
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top