|
entity div1 is
port(
clk: in std_logic;
in_data: in integer range 0 to 999;
o_data: out std_logic_vector(15 downto 0);
--o_data_100: out std_logic_vector(3 downto 0);
--o_data_10: out std_logic_vector(3 downto 0);
--o_data_1: out std_logic_vector(3 downto 0);
o_cmplt: out std_logic
);
end div1;
arch
|
- 페이지 50페이지
- 가격 3,500원
- 등록일 2007.12.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Stop-Watch를 이용한 주기 측정
횟 수
10 T[sec]
1
5.27
2
5.21
3
5.13
4
5.44
5
5.27
6
5.17
7
5.27
8
5.24
9
5.20
10
5.25
평 균
5.245
▶ Stop-Watch 를 이용하여 측정한 주기 및 진동수
▶ 가속도계를 이용하여 측정한 비틀림 진동수
▶이론적 관성 모멘트를 구하기 위한
|
- 페이지 8페이지
- 가격 1,000원
- 등록일 2009.05.31
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
stop_time: INSTD_LOGIC;
clear_time: INSTD_LOGIC;
Tmin: OUTINTEGER range 0 to 60;
Tsec: OUTINTEGER range 0 to 60;
Thour: OUTINTEGER range 0 to 24);
END COMPONENT;
-------------------------------------------------------------
--stop_watch-------------------------------------------------
COMPONENT stop
|
- 페이지 17페이지
- 가격 8,400원
- 등록일 2012.11.29
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Stop-Watch를 이용한 주기 측정
횟 수
10 T[sec]
1
5.33
2
5.57
3
5.57
4
5.33
5
5.78
6
5.50
7
5.53
8
5.40
9
5.47
10
5.48
평 균
5.496
▶ Stop-Watch 를 이용하여 측정한 주기 및 진동수
▶ 가속도계를 이용하여 측정한 비틀림 진동수
▶이론적 관성 모멘트를 구하기 위한
|
- 페이지 11페이지
- 가격 2,000원
- 등록일 2009.05.31
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
Stop-Watch를 이용한 주기 측정
횟 수
10 회
1
5.20
2
5.26
3
5.25
4
5.13
5
5.32
6
5.31
7
5.30
8
5.29
9
5.28
10
5.33
평 균
5.267
♠Stop-Watch 를 이용하여 측정한 주기 및 진동수
♠가속도계를 이용하여 측정한 비틀림 진동수
♠이론적 관성 모멘트를 구하기 위한 실험
|
- 페이지 11페이지
- 가격 2,000원
- 등록일 2009.05.31
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|