|
= 1 = 1
3) Draw the logic diagram by Quartus
① logic diagram
② VHDL source
library ieee;
use ieee.std_logic_1164.all;
entity counter is
port ( clk,rst : in std_logic;
A,B,C,D : buffer std_logic);
end entity counter;
architecture jkf of counter is
signal j1,j2,j3,j4,k1,k2,k3,k4,d1,d2,d3,d4 : std_logi
|
- 페이지 8페이지
- 가격 3,000원
- 등록일 2007.07.17
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
up-counter로만 구현되며 60 이상의 숫자 범위를 넘지 않도록 구현된다.
즉, 60s -> 1m
60m -> 1h
그러나 24h이 되는 찰나에 모든 s, m, h를 0값으로 보낸다.
==> 파형을 볼 시에는 뒤에 시와 분이 변하는 것도 보기 위해서 Option에서 Grid Size를 100ns --&
|
- 페이지 8페이지
- 가격 1,000원
- 등록일 2010.05.13
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|