• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 144건

부득이 위의 방식으로 하였다. State diagram과 table을 파악해 가며 그림을 그리는 것은 다른 단원보다 훨씬 흥미로워 더 재미있게 숙제를 할 수 있었던 것 같다. 8.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로에서 컬렉터 전류는? ♣ 그림 3 ♣ 2. n-type MOSFET의 동작 원리를 설명하라 3. Vector potential이란? [제어, 컴퓨터 및 회로 설계 분야] 1. Latch와 flip-flop의 차이는? 2. SR latch를 그려라 3. SR latch를 이용하여 D latch를 설계하라 4. FSM(finite state machine)이
  • 페이지 11페이지
  • 가격 3,000원
  • 등록일 2020.03.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
aly; architecture Behavioral of counter_mealy is type st_mealy is( a, b, c, d, e, f, g, h, i, j ,k ,l ,m ,n, o, p); signal state : st_mealy; signal s_input : std_logic; begin process(m_reset,m_clk) begin if m_reset = '1' then s_input <= '0'; elsif rising_edge(m_clk) then s_input <= m_input; en
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2012.12.24
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
state, next_state; // current state and next state always @(posedge clock, posedge reset) // Sequential memory of the Moore FSM으로 begin if(reset==1) current_state <= ZfZ; // reset이 1일경우, FSM의 상태를 \"ZfZ\"으로 reset한다 else current_state <= next_state; // 아닐경우 next state end alwa
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2023.03.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
LogicAid 로직 간략화 솔루션을 제공 로직 함수 입력; sum-of-products, product-of-sums, truth table, PLA table, Karnaugh map, minterm or maxterm expansion 순차회로 입력:Mealy or Moore state tables, state graphs or SM charts Equations 디지털회로의 출력을 논리함수 표현 곱
  • 페이지 29페이지
  • 가격 12,600원
  • 등록일 2013.05.24
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

기계를 포함한 산업용기기 분야의 폭넓은 시장을 가지고 있었으나 타모터에 비하여 상대적으로 낮은 효율과 제어가 용이하지 않다는 단점으로 인하여, 제품의 고효율화가 요구되고 있는 가전의 각 세트제품에서 타모터 BLDC 모터화가 지속적
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1건

회로 디지털 논리 회로 과목을 꾸준히 수강함으로써 ECU의 회로를 설계하는데 필요한 역량을 갖출 수 있었다고 자부합니다. D. 그 일의 결과는 어떠하였고, 이 경험에서 어떤 교훈을 얻었습니까? (100자 내외) 저는 이를 통해 열정은 무엇이든
  • 가격 2,000원
  • 등록일 2015.06.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
top