• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 74건

VHDL을 익히는 것이었다. 물론 Gray code에 대한 이해도 하였으나 그것보다는 프로그램의 이해와 활용에 더 많은 시간이 소요되었다. 그 과정에서 QuartusII를 이용하여 implement로 표현하여 프로그램을 돌리는 것도 시도하였으며 그 결과 function을 VHD
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory  전파지연시간(propagation delay) 􀂾 신호
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL code로 설계하니 매번 FA가 필요할 때마다 그 내용을 쓰지 않아 편리하였다. 이번 과제에서 개인적으로 가장 어려웠던 부분은 project의 이름과 main file의 이름을 match시키는 것이었다. 처음에 설계를 했을 때 main project의 이름을 1 bit full adde 파
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
) 2. 010 다음에 +1 => 011 이지만 reset=1 이므로 001(1-초기)-0001000(주사위1)) 3.하강에지이므로 en=0 이라도 반응 X □ 전자 주사위 ▶ 1) VHDL Code □ 전자 주사위 ===== 2) Test Bench : VHDL Code ===== □ 전자 주사위 ▶ 3) 결과 파형
  • 페이지 3페이지
  • 가격 800원
  • 등록일 2005.05.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL code 또한 더 간단하게 설계할 수 있었다. 하지만 복잡한 방법으로 설계하면 moore, mealy type의 이해도를 더 높이고 그 방법에 더 익숙해 도움이 될 것 같아 부득이 위의 방식으로 하였다. State diagram과 table을 파악해 가며 그림을 그리는 것은
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top