• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 509건

1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory  전파지연시간(propagation delay) 􀂾 신호
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
delay의 가능성을 배재할 수 없다고 판단한다. 신호시작점(c) 신호끝점(d) c - d a(ns) 1 2 1 b_trans(ns) 6.168 7.258 1.09 b_iner(ns) 6.188 7.278 1.09 b_iner - a(ns) 5.168 5.258 b_trans - a(ns) 5.188 5.278 표 1. inertial, transport delay에 의한 신호의 변화 다음의 그림과 같이 Device
  • 페이지 6페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Delay Variation," ICC '94. [4] Jun Won Lee and Byeong Gi Lee, "MMPP-Model Based Analysis of Cell Delay Variation in ATM Netwo-rks," ICCCN '94, pp.12-16 [5] Olivier Rioul and Martin Vetterli, "Wavelet and signal processing", IEEE Signal Processing Magazin, October 1991. [6] J. Woods and S. O'Neil, "S
  • 페이지 10페이지
  • 가격 1,300원
  • 등록일 2004.11.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Delay of The MR Damper) ” 대한기계확회 1997년도 추계학술대회논문집 A pp. 566~572 전규석(2006) “MR유체의 동특성" 남윤주, 박명관 “MR댐퍼의 전자기적 설계” 한국전산원(2006) “2005년도 USN현장시험 결과보고서” 권순욱 “유비쿼터스 센서네트워
  • 페이지 15페이지
  • 가격 3,000원
  • 등록일 2011.11.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
delay and stochasticity of drivers. 1 sbs 276회 특집 다큐멘터리 교통, 도시의 얼굴을 바꾼다 2 영상파일3건(첨부파일) 3 강의자료(첨부파일) 제목: 대중교통을 통한 교통혼잡의 해결 1.서론 2.본론 (1) 교통혼잡의 해결방법 (2) 대중교통
  • 페이지 8페이지
  • 가격 4,800원
  • 등록일 2022.08.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 8건

 3.3 기술적 미세 보정  3.4 초광대역 채널 특성   3.4.1 환경 셋업   3.4.2 경로 손실 모델(Path Loss Model)   3.4.3 채널 임펄스 응답(Channel Impulse Response)   3.4.4 딜레이 스프레드(Delay Spread) 4. 결론 5. 참고문헌 6. 감사의 글
  • 페이지 68페이지
  • 가격 10,000원
  • 발행일 2014.12.02
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
Delay cell의 PMOS의 게이트에 입력된다. 바이어스 전압에 따른 실제적인 PMOS의 부하저항 값이 변화가 되고, 따라서 Delay cell이 가지는 지연값이 변화되며 이러한 현상을 이용하여 제어 전압을 통해 전체의 발진 주파수를 조절하게 된다. 루프필터
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Delay - 딜레이 그림 49. Delay 전체 회로 PT2399 (Single Chip Echo Processor IC) 그림 50. PT2399 PIN 배열 PT2399 블록 다이어그램 그림 51. PT2399 블록 다이어그램 PT2399 핀 설명 그림 52. PT2399 핀 설명 PT2399 ECHO MODE 그림 53. PT2399 ECHO MODE PT2399 - Auto Reset Function :
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
delay_ms(1000); TestLED(); port_set(); // 포트 설정 timer1_init(); // 타이머1 초기화 timer3_init(); // 타이머3 초기화 #asm("sei") // 전역 인터럽트 인에이블 TestMove(); // 모터 테스트 delay_ms(1000); Front(); while (1) { cm_left = 0; cm_right = 0; cm_center = 0; //센서 측정 s
  • 페이지 40페이지
  • 가격 5,000원
  • 발행일 2009.11.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
x28); //lcd의 명령어 중 초기화 설정 //5*7폰트, 2라인, 4Bit 사용 //블링크사용 안함 delay(5); //delay함수 호출과 인자값 5을 넘겨 줌 ir_out(0x0c); //lcd의 명령어중 Display On/Off //글자표시, 커서는 표시 안함, 커서위치에 해당하는 문자 //는 점멸하지 않는
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 3건

l be deemed to be in breach of this Agreement, or otherwise be liable to the other, by reason of any delay in performance or the non-performance of any of its obligations hereunder, to the extent that such delay or non performance is due to any Force Majeure of which it has notified to the other par
  • 가격 3,000원
  • 등록일 2006.02.08
  • 파일종류 한글(hwp)
  • 직종구분 전문직
Delay현상이 발생하는 것이었습니다. 코드 최적화와 중국어 출력은 1개월에 걸쳐 완성하고 업데이트 작업을 수행하려는 찰나에 의뢰자의 사정으로 인해 연구는 중단 되었습니다. 2012년에는 'Hello campus'라는 iPhone 기반의 교내 SNS를 제공하기 위
  • 가격 1,300원
  • 등록일 2013.03.07
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
Delay를 주는 방식을 이용하여 예상했던 정확한 움직임을 얻었습니다. 그리고 면접합격이라는 좋은 결과를 가지고 합류한 공채 준비 팀원이 수집한 정보를 이용하여 최종 REPORT를 작성했고, 그 동안 값 싸고 튼실한 재료를 이용하여 만든 하드
  • 가격 3,000원
  • 등록일 2020.03.16
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top