• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 28건

1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory  전파지연시간(propagation delay) 􀂾 신호
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
transport delay에 의한 신호의 변화(Device:MAX2) Device : Stratix3 Device : MAX2 7. 참고서적 - 이대영 저, 하드웨어 설계를 위한 VHDL 기초와 응용, 홍릉과학, 초판, 1995, pp.36-48, 64-66, 100 - 박세현 저, 디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린, 초판, 199
  • 페이지 6페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Ⅱ. 관련 연구 Ⅲ. CBR HDTV TS 패킷 전송을 위한 새로운 메카니즘의 제안 Ⅳ. 전송단 및 수신단의 하드웨어 구현 Ⅴ. VHDL을 이용한 회로 설계 및 검증 Ⅵ. FPGA를 통한 기능 검증 Ⅶ. 결론
  • 페이지 12페이지
  • 가격 2,300원
  • 등록일 2002.11.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
simulation 프로그램의 활용은 필수적이다. 7.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 (2) http://210.99.156.1/home/shkim/chart1-1-2a.htm 1. 제목 2. 개요 3. 이론 4. VHDL Code 5. 결과 및 분석 6. 토의사항 7. 참고문헌
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL 코드를 살펴보면 전체적으로 3개의 파일로 이루어져 있다. 1 bit full adder, adder_package, 4 bit full adder 이다. 1 bit full adder는 주어진 truth table에 따라 계산하여 1차 과제 때처럼 설계하면 되었다. 이번 과제에서는 package를 사용하였는데 이 것은 c
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top