• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,891건

simulation 프로그램의 활용은 필수적이다. 7.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 (2) http://210.99.156.1/home/shkim/chart1-1-2a.htm 1. 제목 2. 개요 3. 이론 4. VHDL Code 5. 결과 및 분석 6. 토의사항 7. 참고문헌
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
통해 보다 쉽게 이해하고 배울 수 있는 좋은 기회가 된 것 같다. 3.2 참고자료 ▶ DigitalDesign, J.F.Wakerly, PrenticeHall, 2006. ▶ DigitalDesign PRINCIPLS&PRACTICES, J.F.Wakerly, PrenticeHall, 2002. 1. 프로젝트 개요 2. Source Code 분석 및 설계 3. 프로젝트 고찰
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
(1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 (2) http://cafe.naver.com/carroty.cafe (3) http://blog.naver.com/nowcafe?Redirect=Log&logNo=20016488913 1. 제목 2. 개요 3. 이론 4. 설계과정 5. VHDL Code 6. 결과 및 분석 7. 토의사항 8. 참고문헌
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
등의 사용가능 여부(그리고 사용의 제한 등)를 빨리 파악함. ▶ C compiler가 없을 시에는 assembly code로 프로그래밍 해야 함. ④ 보드 제작 ▶ 멀티 플레이 기능을 위해서 적어도 2개의 보드를 제작해야 함 ( 예비로 3개를 제작해도 무방) 
  • 페이지 9페이지
  • 가격 2,000원
  • 등록일 2012.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 16건

설계 및 제작, 충남대학교 석사학위논문, 2001 [3] 지충호, DDS/PLL Hybrid 광대역 주파수 합성기 설계 및 제작, 충남대학교 석사학위논문, 2005 [4] 이기정, 직접디지털 합성기를 이용한 PLL 모듈 설계 및 제작, 충남대학교 석사학위논문, 2003 [5] 하경수,
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계해보고 성능을 분석해 보았다. Hamming code를 설계하기까지 많은 시행착오가 있었다. 알고리듬의 이해, Simulink 툴 사용의 미숙으로 인한 시행착오 등 이런 문제들을 해결하기 위해 인터넷에서 자료 조사와 서적을 통한 공부로 극복하려고 노
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Digital Divide)의 현황과 대응방안’ 건국대, 2002. <목 차> Ⅰ. 연구의 배경과 목적 1. 디지털 정보격차의 개념 2. 디지털 정보격차의 연구의 중요성 3. 연구의 목적과 방법 Ⅱ. 정보격차의 이론 1. 정보격차가 축소될 것이라는 보는 확산
  • 페이지 32페이지
  • 가격 3,800원
  • 발행일 2005.10.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 103 1. 연구모델 설정 103 2. 연구문제 및 가설 설정 104 3. 측정변수의 조작적 정의 105 4. 자료수집방법 108 5. 측정변수의 신뢰성 및 타당성 109 제2절. 분석 결과 114 1. 표본의 인구통계적 특성 114 2. 확인적 요인분석을 통한 수정모델
  • 페이지 198페이지
  • 가격 5,000원
  • 발행일 2016.04.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 50건

설계 과제물 및 보고서 학년 / 학기 교과목 / 담당 교수 프로젝트 명칭 4학년 교내 창의적 종합설계 경진대회 / 권 보 규 교수님 인체 감지형 동작 광고판 주요 내용 ◎ 목 적 : 정적인 광고 대신 동적인 광고를 통한 광고효과 극대화. ◎ 설 명 :
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
디지털회로에 관한 세미나를 하면서 순차회로를 처음 접하게 되었을 때 그리고 VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
디지털 회로를 학습했고 연구 활동을 진행하면서는 여러 가지 센서를 통한 회로설계와 논문작성을 하였습니다. 둘째, 저는 프로그래밍 언어 툴 사용에 익숙합니다. 소모임 활동을 통해 C언어교육을 받았고 새롭게 들어오는 후배들에게 C언어
  • 가격 3,000원
  • 등록일 2023.06.15
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
회로, 안테나공학), 이동통신시스템/네트워크(GSM system, 무선 인터넷, PCS technologies), 멀티미디어 통신시스템(TCP/IP, UDP, Mobile IP, IPv6,.), 광대역 통신시스템(동기식 전송방식(SDH), BISDN과 ATM 통신방식, 고속data 통신망), 통신시스템/디지털통신시스
  • 가격 1,000원
  • 등록일 2010.02.17
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
회로1’등을 통해 전자공학도가 갖추어야 할 기본 지식을 배웠고, 지난학기에는 ‘전자회로2’를 들으며 디스플레이에 사용되는 시스템을 Cadence로 설계하여 심화된 역량을 길렀습니다. 특히 프로그래밍의 재미를 느꼈던 ‘디지털 회로설계
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top