• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 10,271건

45  제 1 절 프로그램 사용설명서 ……………………………………………45  제 2 절 과제 수행 계획 평가 ……………………………………………48  제 3 절 프로그램 제작 후 느낀점 ………………………………………49
  • 페이지 50페이지
  • 가격 4,000원
  • 등록일 2013.12.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
모니터링 분석에 대한 보고서를 마치도록 하겠습니다. 감사합니다. 1. UMLDiagram 제작 배경  ① 제작 개요  ② 제작 환경  ③ 제작 일정 2. UMLDiagram 설계  ① 주요 Task 역할  ② 기본 구성도  ③ UMLDiagram 완성도 3. 결론
  • 페이지 6페이지
  • 가격 3,500원
  • 등록일 2015.03.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
(과정): 4) 실인원수 4. 사업 목적 및 목표 5. 사업내용 1) 세부사업내용 2) 목표에 대한 평가방법 3) 담당인력 구성 4) 사업 진행 일정 5) 홍보 계획 6) 지역자원 활용 계획 6. 예산계획 7. 향후운영계획 8, 참고자료
  • 페이지 10페이지
  • 가격 3,000원
  • 등록일 2017.08.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
프로그램 진행 과정에서 강사는 매 주 간단한 테스트 (받아쓰기, 역할극)를 실시한다. 학습자들의 이해도를 측정할 수 있으며, 학 습 평가에 사용된다. 결과물 검사 강사는 매 주 학습자들에게 부여되는 과제물과 요리, 공예 등의 결과물들을
  • 페이지 36페이지
  • 가격 3,000원
  • 등록일 2009.04.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
날의 밤샘작업으로 인해 몸도 피곤했지만, 향후 전문적인 엔지니어로 가는 길에 한발자국 더 다가갔다고 느낄 수 있게 이러한 계기를 제공해준 교수님께도 감사드립니다. 1. On-Line 교육에서 수업의 주제별 내용 요약 2. E-learning 과제
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2008.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 63건

설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와 모터 구동부 2.2.3 전원부 2.3 로봇암의 구동 프로그램 3. 시스템 제작 및 테스트 3.1 마이크로프로세서 구동회로 제작 3.2 센서와 서보모터 구동회로
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
과제 해결 방안 및 과정 3장. 개념설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2절 관련기술분석 및 하드웨어 설계 3장. 2절. 1 전체회로도 3장. 2절. 2 MCU(ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포트를 제외한 나머지 Pin
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
심화학습 모델 3. Bransford & Steins의 IDEAL 문제해결 모델 4. Treffinger의 창의적인 학습 모델 5. 학자들의 창의적인 문제 해결 과정 종합 Ⅳ. 창의적인 문제 해결 프로그램 1. 미래문제 해결 프로그램(FPSP) 2. 오디세이 정신(OM)
  • 페이지 16페이지
  • 가격 2,200원
  • 발행일 2011.08.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
프로그램)이다. 」그렇기 때문에 21세기 정보화 사회를 주도할 매체로 각광받는 대표적인 뉴미디어인 케이블TV는 정보화과정에 필수적인 네트워크와 프로그램을 제공하는 까닭에 정보화 사회의 견인차로서의 역 할을 다하는 매체가 될 것이
  • 페이지 20페이지
  • 가격 2,900원
  • 발행일 2008.10.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
UCC(User Created Content)의 교육적 활용 7 (1) UCC의 개념 7 (2) 교육적 효과 9 (3) UCC의 문제점 10 III. UCC를 활용한 정보보호교육 콘텐츠 개발 12 1. 구현환경 12 (1) 제작도구 12 (2) 모둠학습 12 2. UCC활용 교육 콘텐츠 13 IV. 결 론 15 ※참고문헌 16
  • 페이지 16페이지
  • 가격 3,000원
  • 발행일 2010.01.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 194건

과제가 남아있고 개척해야만 하는 분야라고 생각됩니다. 저는 생활신조처럼 위기가 닥쳤을 때 당황하기보다 능동적이고 창의적인 해결 방안을 만들어내는 플랜트 설계 전문가로서 G5건설에서 활동할 것이며, 입사 후에도 영어뿐만 아니라 제
  • 가격 1,500원
  • 등록일 2017.08.27
  • 파일종류 한글(hwp)
  • 직종구분 기타
과정 소개 8.2 실패와 그로 인한 성장 경험 소개 9.창의성과 문제 해결 9.1 창의적인 사고와 문제 해결 능력 소개 9.2 프로젝트, 과제, 대회 등에서의 창의성 발휘 경험 소개 10.목표 도달을 위한 계획 10.1 대학 입학 후의 목표와 계획 소개 10.2
  • 가격 3,000원
  • 등록일 2023.07.06
  • 파일종류 한글(hwp)
  • 직종구분 전문사무직
언어 ( ) 등급 ( ) 자격 취득 사항 종 류 시 행 처 취득일 근무경력 기 간 회 사 명 업 무 내 용 프로젝트 경력 기 간 프로젝트명 내 용 수상경력 수상일 대 회 명 내 용 전문능력 및 보유기술 프로그램 언 어 가족사항 관 계 성 명 나 이 학 력 직
  • 가격 2,500원
  • 등록일 2018.07.19
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
제작 과정에 참여하여 유익하고 인기와 재미가 있는 게임을 만들어 내는 것이 저의 목표입니다. 1. 고등학교 재학기간 중 학업에 기울인 노력과 학습 경험을 통해 배우고 느낀 점을 중심으로 기술해 주시기 바랍니다. (띄어쓰기 포함 1,000
  • 가격 3,000원
  • 등록일 2022.12.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
C언어 교육을 위한 온라인 프로그래밍 인터페이스 구현'은 '한국 인터넷 정보학회 2003 추계학술발표대회'와 'The 3rd International Conference on Web-based Leaning'에 논문 발표를 했습니다. 이에 그치지 않고 지금은 embedded c++을 이용한 PDA용 S / W 프로그램
  • 가격 700원
  • 등록일 2007.02.06
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top