• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,044건

회로 (6) 그림4-9의 회로를 결선하고 입력 W, X, Y, Z의 변화에 따른 출력 F값을 측정하라. 그림4-9 간략화 회로 5. 참고자료 ① TTL응용 실무 / Don Lancaster / 한국과학원 / 1977. 6. 30 / p.37 ~ p.119 ② 디지털공학실험 / 이병기 / 喜重堂 / 1992. 2. 25 / p.29 ~ p.38
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2007.01.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험 예상 (1) 다음 그림의 회로를 구성하고, 진리표를 구하여 표로부터 D0~D3의 논리식을 AB로 나타내어라. A B D0 D1 D2 D3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 (2) 예비과제 1과 동일한 내용. (3) 다음 회로를 구성하고 실험하라. D0 D1 D2 D3 D4 D5 D C B A 0
  • 페이지 8페이지
  • 가격 6,300원
  • 등록일 2015.11.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털회로 및 시스템”, 문운당 [4] 대한전자공학회, “디지털 전자회로 및 시스템 실험”, 청문각 [5] 이행우, “디지털회로설계 실습”, 과학기술 [6] 박용수, “디지털 논리 설계”, 북두출판사 [7] 김정태 “디지털 이론 및 실험”, 차송&nbs
  • 페이지 78페이지
  • 가격 12,600원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
디지털회로 및 시스템”, 문운당 [4] 대한전자공학회, “디지털 전자회로 및 시스템 실험”, 청문각 [5] 이행우, “디지털회로설계 실습”, 과학기술 [6] 박용수, “디지털 논리 설계”, 북두출판사 [7] 김정태 “디지털 이론 및 실험”, 차송&nbs
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로도>    ≪ 표 ≫ < NAND 게이트 진리표>   ≪ 그 림 ≫ < NAND 게이트 출력파형> 예비 레포트8장.hwp…………………………………7p 아날로그 및 디지털 회로 설계 실습 -예비레포트- 8. 논리함수와 게이트 1.
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 3건

회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST--------------------------- 1) Robot_Main부분 Test 2) Receive LCD Part TEST Ⅴ. 결과고찰------------------------------- Ⅵ. 결론-----------------------------------
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
함수 36 2.2.2.2 컨트롤러 Main 37 2.2.2.3 컨트롤러 UART Main 39 2.2.2.4 컨트롤러 UART 함수 40 2.3 실험 및 결과 40 2.3.1 하드웨어 동작 결과 36 2.3.2 하드웨어 제작 결과 36 제 3 장 결 론 10 3.1 결론 10
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
의한 잡음 제거   2. 3. 2 이진 영상 데이터의 잡음 제거  2. 4 에지 추출   2. 4. 1 1차 미분에 의한 영상 처리   2. 4. 2 2차 미분에 의한 영상 처리 3. 보행자 검출  3. 1 보행자 검출 과정  3. 2 실험 및 고찰 4. 결론 5. 참고문헌
  • 페이지 24페이지
  • 가격 5,000원
  • 발행일 2012.07.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 16건

논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)/A+] 회로를 이해하고 분석할
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
담당 교수 프로젝트 명칭 2학년 2학기 디지털회로실험설계 / 이 진 교수님 로또 번호 발생기 주요 내용 ◎ 목 적 : 7-Segment와 7-Segment 디코더를 이용한 번호발생회로 구현 ◎ 설 명 : 7-Segment와 7-Segment 디코더를 이용하여 1~45까지의 번호를 출력하
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더욱 발전시켜나간다면 LG전자에
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로실험과 디지털회로설계의 기초를 바탕으로 창의적인 설계와 효율적인 제품을 만들기 위해 노력하겠습니다. SK E&S에서 엔지니어로 시작하여, 항상 노력하고 최고를 향한 초심의 마음으로 성장해 나갈 것입니다. 그리고 회사의 전반적인
  • 가격 1,000원
  • 등록일 2011.04.11
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top