• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,069건

변화 제 1장 컴퓨터와 디지털 논리회로 제 2장 데이터 표현 제 3장 논리 게이트와 부울 대수 제 4장 부울대수 간소화 및 구현 제 5장 조합 논리회로 제 6장 순서 논리회로 제 7장 레지스터와 카운터 제 8장 기억장치와 PLD
  • 페이지 183페이지
  • 가격 5,000원
  • 등록일 2014.08.31
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
전기 설계 공학 실험목표 ╋━━━━━━━━━━─────── • 시퀀스 제어회로에 대한 이해 • 시퀀스회로와 디지털논리회로에 관한 관계 이해 • 시퀀스 제어회로를 디지털논리회로로 구현  - 퀴즈부저, 분
  • 페이지 23페이지
  • 가격 5,000원
  • 등록일 2012.11.01
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로의 역할이다. 6. epilogue - 2016년도 1학기에 디지털논리회로를 배우며 처음 프로젝트라는 것을 접해보았고, 여러 프로그램들을 사용해보며 7-segment와 이를 기반으로 한 도어락 회로를 구성하여 구현해본 경험은 지금의 feedback amp를 구현하는
  • 페이지 9페이지
  • 가격 1,400원
  • 등록일 2017.06.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리식 > < 논리회로 > 2. 이론 ◆디지털 입력소자 ◆반가산기 < 논리회로 > < 시뮬레이션 > ◆전가산기 < 논리회로 > < 시뮬레이션 > ◆AND, NOT, OR, XOR, XNOR < AND 논리회로 및 진리표 > < NOT 논리회로 및 진리표 > <
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2011.05.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제1장 컴퓨터와 디지털 논리회로 제2장 데이터 표현 제3장 논리게이트와 부울대수 제4장 부울함수의 간소화 및 구현 제5장 조합논리회로 제6장 순서논리회로 제7장 레지스터와 카운터 제8장 기억장치와 PLD - 출제예상문제(해설포함) 총47페
  • 페이지 47페이지
  • 가격 6,500원
  • 등록일 2010.05.03
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 15건

울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
디지털 액자 제작을 위한 하드웨어 구현과 소프트웨어를 설계하였다. 디지털액자를 설계하기까지 많은 시행착오가 있었다. MCU 회로 설계 과정, RS-232 통신 문제 등 이런 문제들을 해결하기 위해 인터넷에서 조사한 회로를 보고 우선 회로에 대
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하여 위상간의 차이를 전하펌프에서 전류의 크기로 바꾸어 전
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 KA4558 (Dual Operational Amplifier) 그림 46. 4558 데이터 시트 5.2 Clean Boost - 클린 부스트 그림 47. Clean Boost 전체 회로 5.3 Fuzz - 퍼즈 그림 48. Fuzz 전체 회로 5.4 Delay - 딜레이 그림 49. Delay 전체 회로 PT2399 (Single Chip Echo Processor IC) 그림 50. PT2399 PIN 배
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
digital http://www.kps.or.kr/~pht http://blog.naver.com/limnico http://www.withche.com/main http://blog.naver.com/ejawa75 http://www.lgphilips-lcd.com/homeContain/jsp/kor/tech 기술정보 Samsung electronics (LTPS TFT LCD / Hyun Jae Kim) LG electronic - 이형수 TFT-LCD Reaserch Center, KyungHee Univ. -
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2010.01.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 57건

회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로 디지털 논리 회로 과목을 꾸준히 수강함으로써 ECU의 회로를 설계하는데 필요한 역량을 갖출 수 있었다고 자부합니다. D. 그 일의 결과는 어떠하였고, 이 경험에서 어떤 교훈을 얻었습니까? (100자 내외) 저는 이를 통해 열정은 무엇이든
  • 가격 2,000원
  • 등록일 2015.06.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
top