• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 16,905건

설계 실수가 특히 많다. 설계 50% -----외력 추정 오류, 형상의 불연속성, 이음형상의 오류, 이음위치의 오류, 재료선택의 오류, 이방성에 대한 부주의 공작 25% -----기술의 미숙, 용접조건의 오류, 과대변형, 용접순서의 오류, 재료에 대한 공작법
  • 페이지 40페이지
  • 가격 13,860원
  • 등록일 2013.05.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 개요 이용할 수 있는 비파괴시험의 해상도 한계가 1mm라 가정하라. 이것은 균열을 탐지할 수 있는 길이가 1mm 혹은 그 이상을 의미한다. 여러분은 취성파괴 없이 재료가 균열을 허용하거나 더 작은 균열을 허용하는 것을 설계 탐구할 것이
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2012.05.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
용접 및 용접 기법을 개선해야한다. 2. FLOW의 일원화를 해야 한다. 3. 각 STAGE 장비의 정도 및 생산력 우위의 설비를 확보해야한다. 4. 현장 작업의 취 공구의 원활한 지원 SYSTEM를 해야 한다. (4)간접비를 절감해야한다. 1. 조선소의 건설비를 절감
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2010.05.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하중에 근거하여 구조부재 또는 결합부의 치수, 등급, 구조 등이 결정되기 때문에 설계하중의 계산은 매우 중요한 작업이라고 할 수 있다. 건물의 구조부재에 대한 설계는 일반적으로 건축되는 순서와는 반대로 이루어진다. 다시 말하면
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2015.02.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
정밀한 가공. Ⅰ. 산업용 로봇의 정의 Ⅱ. 로봇에 필요한 요소 Ⅲ. 산업용 로봇의 분류 Ⅳ. 산업용 로봇의 기본 구조 Ⅴ. 산업용 로봇의 유래 Ⅵ. 산업용 로봇의 작업 환경 Ⅶ. 로봇의 장점 Ⅷ. 산업용 로봇의 응용 사례
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2006.11.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 93건

설계, 시공, 구조, CM 등으로 나눠져 있다. 각자 분야가 세분화 되어 있어 전문적으로 배울 수 있는 장점이 있지만, 서로 복합적으로 깊게 공부할 수 있는 시스템은 갖추어져 있지 않다. 시공, 설계, CM 등에 종사하는 사람들도 기본적인 구조물
  • 페이지 65페이지
  • 가격 5,000원
  • 발행일 2007.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 배치도면과 자재 방향이 일치하는지 재검측한다. ③ STUD가 방석철근위로 떠있을 경우 STUD의 역할을 할수 없으므로 최대한 STUD 끝단과 맞물리도록 방석철근을 인상한다. 공 종 4. 거푸집 설치 내 용 ① 거푸집 제작시 코팅합판을 사용하
  • 페이지 14페이지
  • 가격 700원
  • 발행일 2010.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
순서도 22 제 5 장 전자수첩 프로그램 작품 설명 23 제 1 절 전자수첩 프로그램 메인화면 23 제 2 절 전자수첩 프로그램 서브메뉴 24 1. 전자수첩 프로그램 개인정보 화면 23 2. 전자수첩 프로그램 스케줄 관리 화면 25 3. 전자수첩 프로그램
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2008.10.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
순서 그림 3-9. SLIP의 프레임 구조 그림 3-10. PPP 프레임 구조 그림 3-11. TCP 프로토콜 데이터 단위 (PDU) 그림 3-12. UDP 프로토콜 데이터 단위 그림 4-1. H.263 블록도 그림 4-2. TransGal의 내부구조 그림 4-3. MBEncodeGal 그림4-4. MBDecodeGal 그림 4-5.
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 445건

[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
지원자 : 유지/보수 가능한 PLC프로그램(Melsec / Siemens), 또는 로봇티칭(WIA, 현대중공업, 야스카와, 듀어) 기술 (상세 기술 요망) 2) 금형 전문기술 지원자 : 용접능력 및 측정기, 공구사용 능력, 컴퓨터활용 설계(2D, 3D) 기술 (상세 기술 요망)
  • 가격 2,000원
  • 등록일 2014.11.07
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계해본 경험이 있는지? 13 PLC 프로그램을 다룰 줄 아는지? 14 핸드폰을 영어로 면접위원들에게 판매해보세요. 15 용접사업에 대해 어떻게 생각하는가? 16 밸브에 대해 설명해보세요. 17 펌프에 대해 설명해보세요. 18 금속의 기본적인 특징에 대
  • 가격 19,900원
  • 등록일 2023.06.10
  • 파일종류 한글(hwp)
  • 직종구분 기타
순서 a. 프로그램이 수행될 때는 활성화된 프레임의 피연산자 스택과 지역 변수 배열만 사용된다. b. 메서드가 호출되면, 새로운 자바 스택 프레임이 생성되고, 새로 생성된 프레임이 자바 스택의 최상단으로 오게 된다. c. 이전의 자바 스택 프
  • 가격 2,000원
  • 등록일 2019.11.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
? 1. 희망직무를 기재하시고, 그 이유와 본인의 적합성에 대해 세 가지(전공 및 기타의 지식/자신의 장기 비전/ 성격) 차원에서 정리하여 기술하십시오. (800자, 1600바이트) [기본설계의 Specialist] 대학교 입학 이후 AVEVA MARINE, H-CAD, CATIA, AUTOCAD등
  • 가격 3,000원
  • 등록일 2023.02.09
  • 파일종류 워드(doc)
  • 직종구분 일반사무직

파워포인트배경 3건

가격 : 29,900원 (-6,900원)
할인가 : 23,000원(36페이지)
가격 : 46,800원 (-10,800원)
할인가 : 36,000원(36페이지)
가격 : 8,450원 (-1,950원)
할인가 : 6,500원(5페이지)
top