• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,769건

이용한 디지털 논리 응용 심화 2 -simulator 는 multisim 사용 가장 중요한 소자는 Timer용으로 많이 사용되는 IC인 NE555입니다. 일반적으로 NE555는 그 출력으로 구형파를 만들어 주게 되는데요. 그때 생성되는 구형파의 특성은 R1, R2, C1에 의해 결정됩
  • 페이지 9페이지
  • 가격 6,300원
  • 등록일 2016.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 시스템 원리 및 응용』,Inter vision,2007. 이희규,『디지털 공학』,지앤북,2007 목표 : 플립플롭을 이용한 신호등 제어기 작성. ◆ Flip-Flop ●FLIP FLOP ●타이밍 순서 ●카운터(counter) ●리플카운터는 F/F 각각의 지연시간 때문에 용도
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2012.03.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리 0을 저장했다고 말할 수 있다. 입 력 출 력 D Q 0 0 1 1 1 0 [ 진리표 ] [ 회로도 ] Ⅲ. 결론 논리 회로는 컴퓨터, 데이터 통신, 디지털 기록, 디지털 하드웨어를 필요로 하는 데 응용되고 있다. 이러한 디지털 매체들은 현재 우리 생활 속에 깊숙
  • 페이지 14페이지
  • 가격 2,000원
  • 등록일 2009.10.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리식 중 옳지 않은 것은? ① 0+A=A ② 1A=A ③ 1+A=A ④ 0A=0 ③ Ⅰ. 계획단계 1. 단원의 개관 2. 단원의 목표 3. 단원의 내용구조 4. 단원지도계획 Ⅱ. 진단단계 1. 실태파악 2. 진단평가문항 3. 분석 및 대책 4. 보충 및 심화 학
  • 페이지 17페이지
  • 가격 2,000원
  • 등록일 2007.08.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
첨가할 수 있 다. 18.OUTPUT : 주 출력이 출력 임피던스 50Ω으로 출력되는 BNC 단 19.AMPLITUDE : 출력신호의 레벨을 0에서 -20dB 까지 조절한다. 오실로스코프 2. 아날로그 와 디지털 화면조정과 전원부 수직증폭부 소인과 동기부 기타
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2012.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 25건

Digital Divide)의 현황과 대응방안’ 건국대, 2002. <목 차> Ⅰ. 연구의 배경과 목적 1. 디지털 정보격차의 개념 2. 디지털 정보격차의 연구의 중요성 3. 연구의 목적과 방법 Ⅱ. 정보격차의 이론 1. 정보격차가 축소될 것이라는 보는 확산
  • 페이지 32페이지
  • 가격 3,800원
  • 발행일 2005.10.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
응용‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥·‥‥‥‥‥‥16 󰊶 a-Si와 LTPS의 비교 및 특성‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥16 (6-1) 비정질 실리콘 TFT LCD (6-2) 저온 폴리 실리콘 TFT LCD · 󰊷 LTPS Process ‥·‥‥‥‥
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2010.01.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용행태조사, 2005. 9 이충열김재필이영수, 『디지털금융』, 2000년 4월 홍출판사 이충열, 『디지털금융시대의 금융구조변화와 정부규제 및 정책에 대한 연구』, 아산재단 연구보고서 2003, 5월 집문당 이충열, "디지털금융과 지급결제제도의 발
  • 페이지 31페이지
  • 가격 4,000원
  • 발행일 2005.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 기기간의 무선 통신 ------------------ 4.4.7 무인 방범 업체와의 제휴 -------------------- 4.4.8 액세스 포인트 (Access Point) -------------------- 4.4.9무선 헤드셋(Headset) ------------------------- 제 5장 결 론 ------------------------------------- 참 고 문
  • 페이지 44페이지
  • 가격 15,000원
  • 발행일 2010.01.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용한 pulse shrinking delay line 제어회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서관 DLL 석사논문 자료실. <8> 류 영 수, 락킹 상태 표시기를 이용한 지연 고정 루프 기반의 클록 합성기 설계, 부경대 대학원 2006 석사 논문 , 국회도서관 DLL
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 28건

디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
응용프로그램개발) 16. 네이버의 다양한 사업 부문에서 성장 잠재력이 있는 분야가 무엇이라고 생각하나요? 17. 네이버의 C2C 서비스가 성공적으로 성장할 경우, 어떤 영향이 있을 것 같나요? 18. HTTP/3에 대해 얼마나 알고 있나요? 19. 디지털
  • 가격 9,000원
  • 등록일 2023.12.13
  • 파일종류 한글(hwp)
  • 직종구분 기타
심화된 역량을 길렀습니다. 특히 프로그래밍의 재미를 느꼈던 ‘디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
이용한 세계화 방안과 외국인을 끌어들일 수 있는… 5. 본인이 생각하는 고객의 정의와 고객을 상대하며 고객만족을 위해 적극적으로 노력하였던 경험을 기술해 주시기 바랍니다. 제가 생각하는 고객이란 귀중한 사람이라고 정의하고 싶
  • 가격 1,800원
  • 등록일 2013.11.13
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top