• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 8,289건

캐패시터의 직렬 연결 VcT I[mA] Z(=Xc) CT(실험값) CT(이론값) LCR미터 측정값 3) RC 직렬회로의 특성 캐패 시터 Xc (계산) VR VC I[mA] Z (이론값) Z (실험값) Vs 0.47uF 0.22uF 5. PSpice 시뮬레이션 예비보고서 1. 실험의 목적 2. 실험을 위한 기초 이론 3.
  • 페이지 3페이지
  • 가격 800원
  • 등록일 2010.03.09
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 실험, 강경일 - 회로이론, 정타관, 1993년 - ynucc.yeungnam.ac.kr/~yssuh/Analog - home.cmh.hs.kr/~choys/elec - my.netian.com/~twssd/8051a/dac.htm Ⅰ. 서론 Ⅱ. 본론 1. DAC의 종류 및 특성 2. DAC의 parameter 3. R-2R Ladder Type DAC 4. 필요한 기초지식 5. 제작한
  • 페이지 25페이지
  • 가격 2,000원
  • 등록일 2003.12.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험과 발표 때는 이를 생각지 못함이 이 실험의 아쉬운 점이다. 참조 1)Basic Electronics 9th edition - Grob (Mcgraw hill) 2)전자회로 실험 -김재석(교보문고) 3)EDS를 이용한 재미있는 전자회로 기초 및 응용 - 남상엽 등3명(상학당) 4)http://www.rfdh.com/rfdb.php3
  • 페이지 8페이지
  • 가격 1,300원
  • 등록일 2007.07.19
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험 목적 실험1) 저항을 이용한 전압 분배 회로 실험계획: 실험예상: 실험2) 인덕터를 이용한 교류파 차단 회로 실험계획: 실험예상: 실험3) 캐패시터를 이용한 직류파 차단회로 실험계획: 실험예상: 4) RLC 공진회
  • 페이지 4페이지
  • 가격 13,860원
  • 등록일 2012.09.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
L,C의 값이 정확하게 의 값을 갖지는 않을 것 이므로, 멀티미터를 이용해 각 소자의 값을 측정하고 이를 토대로 공진주파수를 구하는 것이 이 실험에 쓰이는 회로의 공진주파수의 값에 가까울 것이다. 이 회로에서 저항 R과 LC공진회로에서
  • 페이지 3페이지
  • 가격 3,360원
  • 등록일 2012.09.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 40건

특성 ................. 11 [그림 2-3] LED 기본회로와 밴드이론에 의한 발광현상 .............. 11 [그림 2-4] LED DC current의 증가에 따른 광도(luminous intensity) . 12 [그림 2-5] 브리지 정류회로에서의 파형 변화 ..................... 13 [그림 3-1] AC to DC Converter의
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
제조기술 11 2.2.2.2 LED 광원(전구) 제조기술 12 2.2.2.3 LED 구동회로 제작기술 16 2.2.2.4 LED 응용분야 21 2.2.3 LED 시장 동향 24 2.2.3.1 국내시장 24 2.2.3.2 해외시장 25 2.2.3.3 기업동향 26 2.3 LED의 발전가능성 29 제 3 장 결 론 31 參考文獻
  • 페이지 31페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전자 공학과, IDEC News Letter Octorber, vol.23, no. 5, p.16 ~ p.17 2003. <6> 최 평 Pspice 기초와 활용 - 북두 출판사 2000 p.477 ~ p.479 VCO . <7> 윤 정 배, “두 개의 DLL을 이용한 pulse shrinking delay line 제어회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
rding Length : 250K Trigger Position : 40 Cycles : 5 10 5 25 5 2.3.2 실험 방법 [그림 1-3] 3차권선 실험 계통도 등가회로 [그림 2]는 사고전류제한기의 실험 계통도를 나타내었으며 [그림 3]는 이 계통을 등가회로로 나타내었다. 사고전류제한기의 특성을 알아
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기초자료-------------------- 1) 부품별 기초 자료 2) 기능별 기초 자료 Ⅲ. 작품기능 시스템 구성 및 내역-------------------------- 1) 흐름도 2) 각 기능별 회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robo
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 120건

회로 해석, 중첩의 정리 등 다양한 회로 해석 방법에 대하여 실험을 통하여 이해하고, 그 원리를 습득할수 있엇습니다. 1. 기업분석 - PCB산업 업계의 현황 1. 산업의 특성 2. 산업의 성장성 3. 경쟁요소 - 대덕전자의 현황 2. 자기소개
  • 가격 4,500원
  • 등록일 2021.11.08
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더욱 발전시켜나간다면 LG전자에 입사하
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
전자회로실험과 디지털회로설계의 기초를 바탕으로 창의적인 설계와 효율적인 제품을 만들기 위해 노력하겠습니다. SK E&S에서 엔지니어로 시작하여, 항상 노력하고 최고를 향한 초심의 마음으로 성장해 나갈 것입니다. 그리고 회사의 전반적
  • 가격 1,000원
  • 등록일 2011.04.11
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
전자장2(2013) / A학점 취득 마이크로프로세서0000(2014) / A학점 취득 전자회로설계(2014) / A학점 취득 물리전자(2014) / B+학점 취득 마이크로0000(2014) / A학점 취득 종합00프로젝트(2015) / A학점 취득 컴퓨터00(2015) / A학점 취득 0000설계실험B(2015) / A+학점
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
전자전기공학의 기초이론을 바탕으로 Harris corner 와 Sobel edge 검출과 같은 고전적인 영상인식 이론부터 미래의 증강현실에 관한 영상처리 논문들을 읽어보며 영상처리/제어 분야가 저에게 있어서 제일 흥미롭고 앞으로 연구/개발 일을 하는데
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top