• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 7건

VHDL 문법을 통한 코딩을 하였는데 그 과정에 크고, 작은 오류가 발생하였습니다. 가장 큰 오류는 코딩을 다 한 뒤에 Simulation을 통해 확인하는 과정에서 발견되었습니다. 첫째, 하나의 CLK에서는 한번의 동작만 가능한데 이를 인지하지 못하고,
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
반도체 직접회로설계 수업을 들으며 익힌 내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다. 미니 커피 자판기를 위한 코딩으로써 음식점 입구에서
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2009.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity vending is port( clk, reset : in std_logic; coin_10, coin_50, coin_100, coin_500 : in std_logic; button_coffee, button_tea, button_orange, button_cok
  • 페이지 1페이지
  • 가격 3,000원
  • 등록일 2011.08.29
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
고급커피를 선택시 coffin <="0010";--고급커피 출력 restoration<="0000";--반환된 것이 없음을 표시 sig <= "1101101";--세그먼트 2을 표시 elsif citron='1' then--유자차 선택시 coffin <="0100";--유자차 출력 restoration<="0000";--반환된 것이 없음을 표시 sig &l
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2009.12.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
팔 수도 있다. 그 장소에 꼭 필요한 물품을 넣어 판매를 한다면 이익 창출에 더 큰 도움이 될 것이다. 일정표 역할 분담 개발 배경 및 필요성 개발 내용 및 방법 상태표 및 상태도 카노맵 회로도(로직웍스로 구현) VHDL 구현 Q & A
  • 페이지 22페이지
  • 가격 8,000원
  • 등록일 2011.12.13
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 1건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
top