• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 29,847건

표 ≫  ≪ 표 ≫ 10장 예비 레포트.hwp…………………………………5p 아날로그 및 디지털 회로 설계 실습 -예비레포트- 10. 4-bit Adder 설계 1. 목적 2. 설계실습 계획서 전자신문.hwp……………………………………………2p
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
4LS73 JK 플립플롭   ≪ 그 림 ≫ 9장 예비 레포트.hwp………………………………………………………7p 아날로그 및 디지털 회로 설계 실습 -예비레포트- 10. 4-bit Adder 설계 1. 목적 2. 설계실습 계획서 전자신문.hwp………………
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
bit full adder를 설계하고 이를 component 형태로 호출하여 확장하는 방식으로 4 bit adder를 설계하는 것 이였다. 이와 같은 방법으로 adder를 설계하면 half adder와는 달리 carry를 포함하여 계산이 가능하다. 또 4 bit 뿐만이 아니라 원하는 만큼 FA를 놓아
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
C1을 포함하여 3비트를 더할 수 있는 전가산기를 실제로 설계해보고 동작을 확인해 봄으로써 조합 논리 회로를 이해할 수 있게 될 것이다. 1. 목적 2. 준비물 3. 설계실습 계획서 4. 실험에 필요한 이론과 측정 예상 값 5. 결론
  • 페이지 6페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험내용 1. Multisim software 설치\ 2. 1bit Full Adder 회로의 동작을 이용하여 확인. - 준비된 진리표와 일치하는지 확인 - 결과 화면 캡쳐 3. 4Bit Adder-Subtractor회로 설계 : 참고문헌 참조 - Binary Full Adder 이용 S=0 : Adder 기능 S=1 : Subtractor
  • 페이지 5페이지
  • 가격 1,000원
  • 등록일 2010.04.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 253건

4장. 결론 지금까지 통신관련 분야에 반드시 필요한 오류정정코드의 한 종류인 해밍코드를 Verilog-HDL을 이용하여 설계해보고 성능을 분석해 보았다. Hamming code를 설계하기까지 많은 시행착오가 있었다. 알고리듬의 이해, Simulink 툴 사용의 미숙
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
4] C.Adachi, H.Tokailin, H.Higashi and T.Kusumoto : Appl.Phys Leftt.,60, 1220(1992) [5] J.kido,H.Hsysde,K.Honkswa and K.Okuyama : Appl.Phys Lett, 65. 1214 (1994) [6] T.shimoda, S.Ohshima, S.Miyashita, M.Kimura, T.Ozawa, I Yudasaka, S.Kanbe, H.Kobayashi, R.H.Burroughes and C.W.Towns : Asia Display '9
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 Ⅴ-3. 주요코드분석 Ⅴ-4. 실행화면 Ⅵ. 結 論 표 및 그림목차 그림 1. 도큐먼트-뷰-프레임 구조 그림 2. 기본 생성 클래스들의 상속관계 그림 3-1. TCP/IP와OSI 7 계층 프로토콜 구조의비교 그림3-2. TCP/IP 내부의 계
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계해서 조명에 의한 노이 즈를 차단 시킬 수도 있다고 한다. 수광센서는 선배에게 디지털 오실로 스코프를 빌려서 찍어 보면서 테스트 했었다. 처음에 저항 100옴 대신 잘 못하여 10옴을 달아 발광 센서 하나가 타 버렸다. 6)컴파일러 셋팅이
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전 제품 설계시 온도 변화(2번) Fig 6.6 완전 제품 설계시 열-플럭스( heat-flex)변화(2번) Fig 6.7 완전 제품 설계시 온도 변화(3번) Fig 6.8 완전 제품 설계시 열-플럭스( heat-flex)변화(3번) Fig 6.9 완전 제품 설계시 온도 변화(4번) Fig 6.10 완전 제품 설계시
  • 페이지 42페이지
  • 가격 10,000원
  • 발행일 2011.12.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1,390건

4명의 팀원과 함께, 가상교육대학 시스템 관리자분을 직접 만나 가상교육 시스템 내부구조, 그리고 설계에 대해 직접 대화하고 공부하였으며, 이 시스템에 저와 팀원들이 함께 UML로 설계하는 과정에서 시스템 설계의 중요성과 어려움을 깨달
  • 가격 1,000원
  • 등록일 2009.11.22
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
웹 마스터라기 보다는 팀조직으로 구성된 웹마스터의 일원이라고 할 수 있습니다. 이렇게 이런 자격증 및 프로그램을 다룰 줄 압니다. 1. 성장배경 2. 성격의 장점 및 보완점 3. 외국어 능력 4. 생활신조 5. 생활사항 6. 경력사항 ....
  • 가격 3,000원
  • 등록일 2012.04.13
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
설계 할 수 있는 창의력을 발휘 할 것입니다. 5. SK 입사 후 어떤 일을 하고 싶으며, 이를 위해 본인이 무엇을 어떻게 준비해 왔는지 구체적으로 기술하십시오. (800 자 10 단락 이내) “배관설계 엔지니어가 되기 위한 4년” SK건설에 입사 후 플랜
  • 가격 1,300원
  • 등록일 2013.03.07
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계자가 되겠습니다. 4. 다양한 경험 중 중요하게 생각하는 경험을 한 가지 선택하여 서술하고 그를 통해 이룬 일에 대해 기재하여 주십시오. 대학교 시절 봉사동아리에서 청소년 수련원에서 조교 활동을 한 적이 있습니다. 초중고등학교 시
  • 가격 2,500원
  • 등록일 2019.10.29
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계기사가 되겠습니다. 4. 다양한 경험 중 중요하게 생각하는 경험을 한 가지 선택하여 서술하고 그를 통해 이룬 일에 대해 기재하여 주십시오. 대학교 시절 봉사동아리에서 청소년 수련원에서 조교 활동을 한 적이 있습니다. 초중고등학교
  • 가격 2,500원
  • 등록일 2019.11.07
  • 파일종류 한글(hwp)
  • 직종구분 기타

파워포인트배경 13건

가격 : 29,900원 (-6,900원)
할인가 : 23,000원(36페이지)
가격 : 29,900원 (-6,900원)
할인가 : 23,000원(36페이지)
가격 : 46,800원 (-10,800원)
할인가 : 36,000원(36페이지)
가격 : 8,450원 (-1,950원)
할인가 : 6,500원(5페이지)
가격 : 46,800원 (-10,800원)
할인가 : 36,000원(36페이지)
가격 : 8,450원 (-1,950원)
할인가 : 6,500원(5페이지)
가격 : 39,000원 (-9,000원)
할인가 : 30,000원(26페이지)
가격 : 46,800원 (-10,800원)
할인가 : 36,000원(36페이지)
가격 : 29,900원 (-6,900원)
할인가 : 23,000원(36페이지)
가격 : 8,450원 (-1,950원)
할인가 : 6,500원(5페이지)
top