• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 52건

ALU, Shifter, 베릴로그 소스, 예비, 결과레포트 ☞ Carry Ripple Adder / Carry Lookahead adder ◎ Carry Ripple Adder > 비트 벡터로 구성된 두 개의 입력을 받을 때, 하위 비트의 덧셈 과정에서 carry가 발생하여 상위 비트의 adder에 carry in으로 들어가는
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2008.11.28
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Lookahead_carry_generator port map (G_sig, P_sig, Cin, m ,C_sig, Pout, Gout); Cout <=C_sig(4); GPFA0: GPFullAdder port map (A(0), B_sig(0), (Cin xor m), G_sig(0), P_sig(0), Sum(0)); GPFA1: GPFullAdder port map (A(1), B_sig(1), c_sig(1), G_sig(1), P_sig(1), Sum(1)); GPFA2: GPFullAdder port map (A
  • 페이지 17페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
carry 입력이 도착할 때까지 연산을 기다려준다면 carry의 delay가 없다. 하지만 이 방법은 연산시간이 오래 걸린다는 단점이 있다. 이와 같은 방법 이외에도 half adder 두개를 이용한 가산기도 있고, carry lookahead adder도 있다. Carry lookahead adder는 ripple
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Carry Adder), CLA (Carry Lookahead Adder), CSA (Carry Select Adder) 를 살펴본 결과, RCA는 회로설계가 간단하고 늘려나가기 쉽지만 초기 interval이 긴 것, 각 단계로 넘어갈 때 지연시간이 긴 것이 단점입니다. CLA는 RCA의 단점을 보완하기 위해 만들어졌지만, 4bi
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2011.05.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
er 두개를 이용한 가산기도 있고, carry lookahead adder도 있다. Carry lookahead adder는 ripple carry adder의 단점을 커버한 adder이다. 이 회로는 수를 더하는데 필요한 시간을 본질적으로 줄일 수 있는 다른 방법이 사용되었다. Carry를 빨리 평가하여 가산하
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top