|
door_lock.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity door_lock is
port(
clk : in std_logic;
sw1,sw2 : in std_logic;
key1 : in std_logic;
clear : in std_logic;
|
- 페이지 51페이지
- 가격 3,000원
- 발행일 2012.06.24
- 파일종류 기타
- 발행기관
- 저자
|
|
Logical Foundation of Constitutional Democracy. Ann Arbor: University of Michigan Press.
Fiorina, Morris. 1989. "Is Negative Voting an Artifact?" American Journal of Political Science vol. 33, pp.423-39.
Green Donald and Ian Shapiro. 1994. Pathologies of Rational Choice Theory: A critique
of applic
|
- 페이지 13페이지
- 가격 2,000원
- 발행일 2010.06.16
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
logic of Space, Cambridge University Press.
Jaskiewicz, F., 2000, “Pedestrian Level of Service Based on Trip Quality”, Transportation Research Circular, TRB.
Jonathan, Byrd, and Sisiopiku, Virginia P., 2006, “Comparison of Level of Service Methodologies for Pedestrian Sidewalks”, TRB Annual Meeting.
|
- 페이지 66페이지
- 가격 5,000원
- 발행일 2011.02.17
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
Logic and Flexible Production Systems in the World Auto Industry
Ulrich Jurgens, Thomas Malsch and Knuth Dohse(1997), Breaking from Taylorism, Cambridge Ⅰ. 서론
Ⅱ. 한국 자동차산업 노사관계의 현황과 이슈
1. 한국 자동차산업 노사관계의 구조적 특성
2. 구조조정기 자
|
- 페이지 32페이지
- 가격 4,000원
- 발행일 2005.10.22
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
Logic of architecture』. MIT press.1990.
2. Juan Pablo Bonta, 서유석 역. 『建築의 表現體系(Architecture and its Interpretation)』. 기문당. 1999.
3. Laseau Paul, 이용재 역. 『디자인개념(Graphic thinking for architects and designer)』. 국제. 1988.
4. Edward T. White, 이용재 역. 『건
|
- 페이지 19페이지
- 가격 2,000원
- 발행일 2007.09.19
- 파일종류 한글(hwp)
- 발행기관
- 저자
|