|
프로그램을 사용할 때는 회로도 그리는 것이 쉬웠는데 VHDL프로그램을 이용해서 회로를 그리는게 잘 되지 않아서 불편했다. 실습 시간에 주어졌던 반가산기 소스를 가지고 전가산기 소스를 구성해 보았고, 점점 VHDL에 익숙해지는것 같다.
그리
|
- 페이지 6페이지
- 가격 2,000원
- 등록일 2011.06.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
nd if;
end case;
end process;
end behav;
■ 1비트 Full Adder 직렬 가산기
library ieee;
use ieee.std_logic_1164.all;
entity SAdd is
generic(width : integer := 8);
port(clk, rst : in std_logic;
cin : in std_logic;
a, b : in std_logic_vector(width-1 downto 0);
cout : out std_logic;
done : out std_logic;
|
- 페이지 18페이지
- 가격 1,000원
- 등록일 2007.01.21
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
);
elsif (rising_edge(clk)) then
if (qout=511) then
qout <= (others => '0');
else
qout <= qout + 1;
end if;
end if;
end process;
end behav;
■ D F/F(16비트)
library ieee;
use ieee.std_logic_1164.all;
entity dffp16 is
port(clk, rst : in std_logic;
din : in std_logic_vector(15 downto 0);
dout
|
- 페이지 13페이지
- 가격 1,000원
- 등록일 2007.01.21
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
;
ALARM_HUR : OUT INTEGER RANGE 23 DOWNTO 0;
ALARM_MIN : OUT INTEGER RANGE 59 DOWNTO 0;
SET_MODE : OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
BEEP : OUT STD_LOGIC
);
END COMPONENT;
TYPE WATCH_MD IS (M_TIME, M_ST_WATCH, M_ALARM, M_TIME_S);
SIGNAL WATCH_MODE : WATCH_MD;
SIGNAL MODE : STD_LOGIC_VECTOR (2 DOWNT
|
- 페이지 20페이지
- 가격 1,000원
- 등록일 2005.12.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
프로그램 메모리
위에서 언급한 1에서부터 10까지 더하기 프로그램을 작성하여 프로그램 메모리 영역에 아래와 같이 VHDL로 작성한다.
<그림 2-39> 프로그램 메모리 VHDL 코드
2) 마이크로프로세서의 타이밍
설계한 마이크로프로세서의 모든
|
- 페이지 36페이지
- 가격 2,000원
- 등록일 2007.01.30
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|