• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 12,102건

nd if; end case; end process; end behav; ■ 1비트 Full Adder 직렬 가산기 library ieee; use ieee.std_logic_1164.all; entity SAdd is generic(width : integer := 8); port(clk, rst : in std_logic; cin : in std_logic; a, b : in std_logic_vector(width-1 downto 0); cout : out std_logic; done : out std_logic;
  • 페이지 18페이지
  • 가격 1,000원
  • 등록일 2007.01.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
); elsif (rising_edge(clk)) then if (qout=511) then qout <= (others => '0'); else qout <= qout + 1; end if; end if; end process; end behav; ■ D F/F(16비트) library ieee; use ieee.std_logic_1164.all; entity dffp16 is port(clk, rst : in std_logic; din : in std_logic_vector(15 downto 0); dout
  • 페이지 13페이지
  • 가격 1,000원
  • 등록일 2007.01.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
프로그램을 사용할 때는 회로도 그리는 것이 쉬웠는데 VHDL프로그램을 이용해서 회로를 그리는게 잘 되지 않아서 불편했다. 실습 시간에 주어졌던 반가산기 소스를 가지고 전가산기 소스를 구성해 보았고, 점점 VHDL에 익숙해지는것 같다. 그리
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2011.06.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
엔테이션 및 사전연습 - 계획된 프로그램의 성공적 수행을 위해서는 오리엔테이션과 사전연습이 요청됨 - 오리엔테이션이 계획된 프로그램과 수칙을 일방적으로 주입시키는 행사가 되서는 안 됨 - 사전연습은 봉사자들 간의 상호협력방법이
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2014.06.22
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
사회사업서비스의 질적향상과 사회문제를 효과적이고 효율적으로 해결하고자 하는 것이다 2)프로그램 평가의 유형 ①총괄평가 프로그램 투입에 대한 총체적인 판단을 내리기 위한 평가 ②형성평가 프로그램 수행, 전달 과정중에 실시하는
  • 페이지 5페이지
  • 가격 800원
  • 등록일 2013.09.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 41건

다. 마지막으로 요약하여 정리하여 보면, 프로그램 평가조사의 목적은 프로그램의 지속, 중단여부를 결정하고, 합리적인 자원배분과 서비스 전달체계의 개선, 새로운 프로그램의 개발 검토를 목적으로 한다. 이러한 프로그램 평가조사를 통
  • 페이지 3페이지
  • 가격 2,300원
  • 발행일 2012.09.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
프로그램 운영은 곧 교회의 생존과도 직결된다고 볼 수 있다. 그러나 여기서 우리는 질문해야할 문제들이 있다. 첫째, 전도 메뉴얼화를 바탕으로 한 프로그램식 전도가 21세기에도 맞을 것인가? 둘째, 다양한 접촉점을 찾고자 하는 프로그램
  • 페이지 23페이지
  • 가격 15,000원
  • 발행일 2016.06.14
  • 파일종류 워드(doc)
  • 발행기관
  • 저자
프로그램 중 어느 프로그램이 어떤 부분에서 만족감을 주는지를 분석하여 향후 더 좋은 여가프로그램을 개발하여 활성화 하는 데 도움을 주기 위한 목적으로 쓰였다. 본 연구결과를 요약하면 다음과 같다 첫째, 노인의 일반적 사항을 정리해
  • 페이지 18페이지
  • 가격 2,000원
  • 발행일 2012.02.06
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
프로그램에서 강조하는 개념과 기술을 간단히 요약정리하면 다음과 같다.(백순옥, 2001) · 아이들의 행동을 이해하자. · 잘 들을 줄 알자. · 아이들에게 용기를 심어주자. · 누구의 과제인가? · 아이들에게 상처를 주지 않고 의견을 말하자. ·
  • 페이지 11페이지
  • 가격 4,000원
  • 발행일 2013.08.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 문제점 3.해결방안 Ⅲ.영어수업 1.수업방식 2.수업만족도 및 문제점 3.해결방안 Ⅳ.특성화프로그램(양서읽기,개인연구) 1.수업방식 2.수업만족도 및 문제점 3.해결방안 Ⅴ.결론 Ⅵ.부록 1.참고문헌 2.주석 3.설문지자료
  • 페이지 6페이지
  • 가격 2,000원
  • 발행일 2008.04.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 148건

작성하며, 사소한 실수도 범하지 않도록 합니다. [웹프로그램 신입사원 이력서] 1. 이력서 2. 자기소개서 3. 포트폴리오 [문서 양식 사용 안내] 1. 사용안내 2. 상단 배너 3. 아이콘 4. 제목이미지 * 이력서 및 자기소개서 작성 요령
  • 가격 5,500원
  • 등록일 2006.05.17
  • 파일종류 한글(hwp)
  • 직종구분 기타
실수도 범하지 않도록 합니다. [웹프로그램 경력사원 이력서] 1. 이력서 2. 자기소개서 3. 경력소개서 4. 포트폴리오 [문서 양식 사용 안내] 1. 사용안내 2. 상단 배너 3. 아이콘 4. 제목이미지 * 이력서 및 자기소개서 작성 요령
  • 가격 5,500원
  • 등록일 2006.05.17
  • 파일종류 한글(hwp)
  • 직종구분 기타
정리 (5분) ‘활동을 마치며’에 이번 회기를 통해 알게 된 사실, 느낌, 생각을 기록하고 발표하게 한다. 활동 내용을 정리한다. 유의사항 직장인들이 가지고 다니는 실제의 명함을 견본으로 소개하는 것이 좋다. * 참고 : ▷ 교 - 교사 준비물
  • 가격 3,000원
  • 등록일 2007.06.13
  • 파일종류 한글(hwp)
  • 직종구분 기타
프로그램에 참가하였습니다. 학교의 지원으로 부산OOOO협회에서 약 7주간 인턴생활을 하였습니다. 기본적인 문서작성과 서류정리 엑셀프로그램을 이용하여 구매물품 품목작성을 맡았습니다. 또한, 외부로부터 들어오는 문의전화를 받고 이를
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
프로그램개발) 9. OO프로그램을 사용했다고 했는데 어떤 방식으로 동작하는지 알고있는지? (응용프로그램개발) 10. 네이버가 외부 언론에 어떻게 대응해야 한다고 생각하나요? (마케팅) 11. 플랫폼 비즈니스에 대해 얼마나 알고 있나요? (마케
  • 가격 9,000원
  • 등록일 2023.12.13
  • 파일종류 한글(hwp)
  • 직종구분 기타
top