|
nd if;
end case;
end process;
end behav;
■ 1비트 Full Adder 직렬 가산기
library ieee;
use ieee.std_logic_1164.all;
entity SAdd is
generic(width : integer := 8);
port(clk, rst : in std_logic;
cin : in std_logic;
a, b : in std_logic_vector(width-1 downto 0);
cout : out std_logic;
done : out std_logic;
|
- 페이지 18페이지
- 가격 1,000원
- 등록일 2007.01.21
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
);
elsif (rising_edge(clk)) then
if (qout=511) then
qout <= (others => '0');
else
qout <= qout + 1;
end if;
end if;
end process;
end behav;
■ D F/F(16비트)
library ieee;
use ieee.std_logic_1164.all;
entity dffp16 is
port(clk, rst : in std_logic;
din : in std_logic_vector(15 downto 0);
dout
|
- 페이지 13페이지
- 가격 1,000원
- 등록일 2007.01.21
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
프로그램을 사용할 때는 회로도 그리는 것이 쉬웠는데 VHDL프로그램을 이용해서 회로를 그리는게 잘 되지 않아서 불편했다. 실습 시간에 주어졌던 반가산기 소스를 가지고 전가산기 소스를 구성해 보았고, 점점 VHDL에 익숙해지는것 같다.
그리
|
- 페이지 6페이지
- 가격 2,000원
- 등록일 2011.06.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
엔테이션 및 사전연습
- 계획된 프로그램의 성공적 수행을 위해서는 오리엔테이션과 사전연습이 요청됨
- 오리엔테이션이 계획된 프로그램과 수칙을 일방적으로 주입시키는 행사가 되서는 안 됨
- 사전연습은 봉사자들 간의 상호협력방법이
|
- 페이지 6페이지
- 가격 1,300원
- 등록일 2014.06.22
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
사회사업서비스의 질적향상과 사회문제를 효과적이고 효율적으로 해결하고자 하는 것이다
2)프로그램 평가의 유형
①총괄평가
프로그램 투입에 대한 총체적인 판단을 내리기 위한 평가
②형성평가
프로그램 수행, 전달 과정중에 실시하는
|
- 페이지 5페이지
- 가격 800원
- 등록일 2013.09.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|