• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6,141건

사고력, 학습몰입 및 SW인식에 미치는 영향, 김지은, 수원 : 아주대학교 교육대학원 : 아주대학교, 2018 역량기반 SW교육 모델 설계 및 적용, 김보리, 서울 : 서울교육대학교 교육전문대학원, 2017 sw사고기법 3. 분해, 패턴인식, 추상화의 개념
  • 페이지 5페이지
  • 가격 4,800원
  • 등록일 2021.10.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
SW 사고기법의 학습은 점차 중요성이 높아질 것이다. Ⅳ.참고문헌 [IT강의실] 인류의 삶을 바꾼 위대한 기계 - 컴퓨터, 이상우, IT동아, 2015 컴퓨팅 사고력 신장을 위한 프로그래밍 중심 STEAM 교육 프로그램, 김태훈, 제주 : 제주대학교, 2015 컴퓨팅
  • 페이지 5페이지
  • 가격 4,800원
  • 등록일 2021.10.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
 sw사고기법 1. 4차 산업혁명의 배경 및 의미에 대하여 요약 #생각해 볼 문제 4차 산업혁명 후 우리가 살아남기 위해 어떻게 해야 하는가에 대한 다음 질문들에 대한 생각을 정리 기계가 할수 없는 것은 무엇인가? 내가 하는 것을 어떻게
  • 페이지 5페이지
  • 가격 4,800원
  • 등록일 2021.10.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
사고를 기를 수 있는 방법을 찾고 있다. 4. 출처 및 참고문헌 박미소. \"과학교육관점에서의 컴퓨팅 사고 실천에 대한 조작적 정의와 이에 따른 과학교육에서의 제언.\" 조선대학교, 2018. S/W적 사고 기법은 내가 제시한 방법 7가지와 다른 학
  • 페이지 7페이지
  • 가격 4,800원
  • 등록일 2022.07.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
기법의 개선 Ⅲ. 조직 활성화 및 혁신에 필요한 신 경영기법 1. 신 경영 혁신기법의 종류 2. 경영의 패러다임을 변화시키는 기법 (1)비전 만들기 1)비전의 정의 2)비전수립의 절차 (2)Restructuring 1)리스트럭처링의 정리 2)리스트
  • 페이지 31페이지
  • 가격 3,000원
  • 등록일 2006.02.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 28건

1. 3. 문자-미디어의 영상-미디어적 특성 발현 양상 2. 영화소설의 영상-미디어 미학적 분석 2. 1. 영화적 서술형식의 문자적 재현 양상 2. 2. 영화적 지각방식을 통한 현대적 인식의 형상화 2. 3. 영화적 표현기법 실험의 의의와 소설적 한계
  • 페이지 6페이지
  • 가격 1,000원
  • 발행일 2022.08.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기법을 통한 시 형상화 교육의 향상방안 3.1 의사소통을 통한 시 교육의 정의 3.2 의의 및 기대 효과 3.3 화자 지향형 3.4 청자 지향형 3.5 화제 지향형 Ⅲ. 요약 및 고찰 ※ 참고문헌
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2008.10.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기법에 관한 연구”, 2011. 김사길. 변승남, “제품안전경영을 위한 사고비용분석의 이론적 접근”, 2003. 5. 정광태. 송복희. 이용의, “제품 안전을 위한 노인의 특성에 대한 기초 조사”, 2001. 6. 송유빈, “제품안전관리체계에 이력추적관리 종
  • 페이지 18페이지
  • 가격 2,000원
  • 발행일 2024.01.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
사고통에 미치는 효과. 정서학습장애연구. 2000 안종복, 전희숙, 석동일. 조음검사 방법간 조음정확도 및 조음오류의 유형비교. 언어치료연구. 2001 이수진. 조음장애아동의 미술치료사례연구. 임상미술연구. 2001 이근매. 미술치료가 선택적 함
  • 페이지 10페이지
  • 가격 1,500원
  • 발행일 2010.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기법」, 포스코특수강, 리드리드출판, 2002.01.18 「성공하는 6시그마 실패하는 6시그마」, 조영철, 중앙북스, 2008.04.08 나. 연구논문 “6시그마 혁신 1998”, 삼성경제연구소, 1998 “KT 6시그마”, KT 연구소 , 2005년 발표 자료 “신한은행의 6시그마”
  • 페이지 41페이지
  • 가격 4,500원
  • 발행일 2009.06.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 78건

사고기법 방법론 개발 (Triangle Apex Theory: Advanced MECE) - 4P Mix 중 Promotion 전략 로드맵 수립 시 적용 (실제로 신규사업 Promotion에 적용하여 대표 보고) - 신규사업 및 마케팅 전략/리서치 실무 총괄 - 신규 사업: SMS Call, 모바일 IPTV & DMB Converg
  • 가격 10,000원
  • 등록일 2012.03.29
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[수리능력] 문제해결 과정에서 적절한 수학적 사고와 기법을 선택하여 결과물을 창출하신 경험이 있으면 서술하여 주시기 바랍니다. 9. [직업윤리] 공단인으로서 어떤 소명의식을 가지고 근무할 것인지에 대하여 서술하여 주시기 바랍니다.
  • 가격 2,500원
  • 등록일 2015.12.01
  • 파일종류 한글(hwp)
  • 직종구분 기타
사고 기법을 적극적으로 활용했습니다. 갈등 상황에서는 이해와 리스닝을 통해 상호간의 이해 관계를 강화하고, 중재나 타협을 통해 상호간의 만족을 도출하도록 노력했습니다. 9.입사 후 포부 9.1 회사가 본인을 합격시켜야하는 이유 저는 코
  • 가격 3,000원
  • 등록일 2023.07.06
  • 파일종류 한글(hwp)
  • 직종구분 전문사무직
사고와 기법을 선택하여 결과물을 창출하신 경험이 있으면 서술하여 주시기 바랍니다. [300자 이상 500자 이내] 체계적인 문제 풀이 방식은 그 어떤 어려움에도 최선의 결과를 만들었습니다. 딱히 수리적인 능력이라고 할 수는 없지만 제가 가
  • 가격 2,500원
  • 등록일 2015.12.02
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
사고와 기법을 선택하여 결과물을 창출하신 경험이 있으면 서술하여 주시기 바랍니다. 9. [직업윤리] 공단인으로서 어떤 소명의식을 가지고 근무할 것인지에 대하여 서술하여 주시기 바랍니다. ☆ 한국보훈복지의료공단 면접기출 및 예상
  • 가격 2,500원
  • 등록일 2015.11.30
  • 파일종류 한글(hwp)
  • 직종구분 기타
top