• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,009건

리식을 간략화 하여 직접 결과를 구한 다음 부울대수로 간략화 한 식과 카르노도로 간략화 한 식을 비교해 본 뒤 실제로 해당 논리식을 논리 게이트와 브레드 보드를 이용해 설계하고 입력신호 1을 5V라 가정한 뒤 신호를 달리해가며 측정값을
  • 페이지 5페이지
  • 가격 6,300원
  • 등록일 2016.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
결과 TTL 불량이었던 것 같다. 제대로 된 값을 구할 수 있었다. 1학기 때 다룬 내용들을 방학이 지난 시점에서 다시 하려니 생각대로 잘 되지는 않았다. 이번 시간을 통해 회로 구성하는 법과 장비 조작하는 법을 다시 확인해 볼 수 있어서 좋았
  • 페이지 2페이지
  • 가격 800원
  • 등록일 2011.05.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
결과와 이론값이 일치해서 매우 뿌듯하고 만족한 실험이었다. 실험 결과를 통해 복잡한 논리식을 간략화를 했을 때 회로구성이 매우 간단해 질수 있음을 알 수 있었다. 실험1을 통해 , 드모르간의 법칙이 성립함을 알 수 있었다. 또 실험을 하
  • 페이지 5페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
가능한 모든 논리회로는 NOR이나 NAND 만으로 표현 가능하기 때문이다. ) 부울대수의 정리 예비 보고서 1. 실험 목적 2. 기본 이론 3. 실험 방법 4. 실험 기기 5. 참고 문헌 결과 보고서 1. 결과값 2. 고찰 3. 문제
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2015.02.06
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로도는 그림 6과 같다. 예비보고서를 작성할 때, Max+Plus II를 사용하여 그림 6의 회로를 설계하고, 이 회로 에 대한 시뮬레이션 결과를 첨부하라. 그림 6 반가산기를 이용한 저가산기의 논리회로도 그림 6 시뮬레이션 결과 4-비트 이진 가
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2010.05.03
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
보고서 문제 (1)에 대한 진리표를 작성하시오. (실험 1 참조) 부울 대수로 간략화하여 논리적의 논리화 형태의 논리회로를 그리시오. *진리표 A B C Y 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 1 *논리회로 (2) 다음의 논리식에 대한 진리표를
  • 페이지 10페이지
  • 가격 4,200원
  • 등록일 2013.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
 디지털 공학 실험 7장 순차논리회로 설계 및 구현(1) 결 과 보 고 서 1. 결과 2. 검토 및 고찰
  • 페이지 3페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
브레드보드에 회로를 구성할 때 배선이나 선 색에 따른 시각적 모습 등 바쁜 마음에 완성도가 아직 많이 부족해서 더 노력해야할 것 같다. 디지털 공학 실험 8장 순차논리회로 설계 및 구현(2) 결 과 보 고 서 1. 결과 2. 검토 및 고찰
  • 페이지 3페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리 게이트들을 이용하여 간단한 논리 회로를 구현해 보고 그에 따른 논리게이트들의 역할들과 자판기의 거스름돈이 나오는 원리에 대하여 알아보기로 한다. 상품과 거스름돈이 나오는 두가지 경우로 진리표를 작성하였다. 상품만 나오는
  • 페이지 10페이지
  • 가격 13,860원
  • 등록일 2012.09.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
b=4\'b0000; end endmodule #HW3 Complete the 4to1 mux and simulate it using testbench. Report must include your own explanation. → Input : 4bit [3:0]D, 2bit [1:0]S Output: 1bit Y module MUX4to1( input [3:0]d, input [1:0]s, output y ); assign y = d[0]&(~s[1]&~s[0])| d[1]&(~s[1]& s[0])| d[2]&( s[1]&~
  • 페이지 6페이지
  • 가격 6,300원
  • 등록일 2016.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top