• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,060건

리식을 간략화 하여 직접 결과를 구한 다음 부울대수로 간략화 한 식과 카르노도로 간략화 한 식을 비교해 본 뒤 실제로 해당 논리식을 논리 게이트와 브레드 보드를 이용해 설계하고 입력신호 1을 5V라 가정한 뒤 신호를 달리해가며 측정값을
  • 페이지 5페이지
  • 가격 6,300원
  • 등록일 2016.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
결과 TTL 불량이었던 것 같다. 제대로 된 값을 구할 수 있었다. 1학기 때 다룬 내용들을 방학이 지난 시점에서 다시 하려니 생각대로 잘 되지는 않았다. 이번 시간을 통해 회로 구성하는 법과 장비 조작하는 법을 다시 확인해 볼 수 있어서 좋았
  • 페이지 2페이지
  • 가격 800원
  • 등록일 2011.05.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
결과와 이론값이 일치해서 매우 뿌듯하고 만족한 실험이었다. 실험 결과를 통해 복잡한 논리식을 간략화를 했을 때 회로구성이 매우 간단해 질수 있음을 알 수 있었다. 실험1을 통해 , 드모르간의 법칙이 성립함을 알 수 있었다. 또 실험을 하
  • 페이지 5페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
가능한 모든 논리회로는 NOR이나 NAND 만으로 표현 가능하기 때문이다. ) 부울대수의 정리 예비 보고서 1. 실험 목적 2. 기본 이론 3. 실험 방법 4. 실험 기기 5. 참고 문헌 결과 보고서 1. 결과값 2. 고찰 3. 문제
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2015.02.06
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로도는 그림 6과 같다. 예비보고서를 작성할 때, Max+Plus II를 사용하여 그림 6의 회로를 설계하고, 이 회로 에 대한 시뮬레이션 결과를 첨부하라. 그림 6 반가산기를 이용한 저가산기의 논리회로도 그림 6 시뮬레이션 결과 4-비트 이진 가
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2010.05.03
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 10건

3)센서 4)RF통신 2.2 구현내용 (1) 차량부 (2) 주차장 (3) 하드웨어 회로도 3. 결과 3.1 최종결과물 3.2 검증방법 4. 결론 5. 참고문헌 6. 졸업작품 후기 7. 사용된 프로그램 7.1 ParkSystem.java 7.2 Test.java
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
논리, 허버트 쉴러, 나남. 한국전산원. 2004. 국가정보화백서. 한국정보문화진흥원. 2003. 해외 정보격차해소 정책의 흐름과 변화. 한국정보문화진흥원. 2004. 정보격차해소동향 2004 겨울호. 한국정보문화진흥원. 2004a. 2004 정보격차해소백서. 한
  • 페이지 92페이지
  • 가격 9,900원
  • 발행일 2008.10.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
결과보고서』. 최막중 (1998), “도시마케팅 전략과 과제”, 『국토』9월호. 한국문화정책개발원 (1998), 『창의적 문화국가 건설을 위한 정책제안』. Ashworth, G. J. & H. Voogd (1990), Selling the City: Marketing approach in public sector urban planning, London: Belhaven Pr
  • 페이지 29페이지
  • 가격 3,500원
  • 발행일 2012.04.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
결과를 얻어 낼 수가 있다. 마지막으로 구현한 VCDL의 모델은 위에서 제안한 몇 개의 inverter가 직렬로 연결 된 inverter chain형태로 공급전압의 노이즈를 최소화하고 같은 위상으로 피드백으로 Delay가 이루어지는 회로를 구현 하였다. 그림 34. 제안
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리를 따르면서, VECs와 CECs가 관련된다는 귀무가설을 조사한다. 1971년부터 2000년까지 30년간에 걸친 포브스의 보상 조사들에서 CEO의 연간 현금 보상을 이용해서 CECS와 VECs 사이의 연관성들을 고찰한다. 기업 및 산업 CECs와 VECs의 수준들 사이
  • 페이지 30페이지
  • 가격 20,000원
  • 발행일 2017.01.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 15건

회로설계 9. 자기소개서9 환경·플랜트 10. 자기소개서10 R&D(연구개발) 1) 도전적인 목표를 정하고 열정적으로 일을 추진했던 경험을 구체적으로 기술해 주십시오. 특히, 일을 추진해 나가는 데 있어서 어려웠던 점과 그 결과에 대해서 중점적
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
결과] [과정은 여러 가지, 목표는 하나] 4. 회사에 제시하고 싶은 자신의 모습(자유기술) (1000자 이내) [여성의 섬세함과 남성의 도전력] 5. 본인의 능력개발을 위한 과거와 현재의 노력 및 미래의 계획 (1000자 이내) [멀티 플레이어]
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로 디지털 논리 회로 과목을 꾸준히 수강함으로써 ECU의 회로를 설계하는데 필요한 역량을 갖출 수 있었다고 자부합니다. D. 그 일의 결과는 어떠하였고, 이 경험에서 어떤 교훈을 얻었습니까? (100자 내외) 저는 이를 통해 열정은 무엇이든
  • 가격 2,000원
  • 등록일 2015.06.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
논리로써 재구성하는 능력을 보였습니다. 이것은 학생의 클럽활동(고전 강독반, 논술반)에서 확인할 수 있듯이 관심 분야에 대한 폭 넓은 독서가 있었기에 가능했던 것 같습니다. 이 학생은 지적인 욕구에 관한 한 욕심이 많습니다. 한번 품
  • 가격 3,000원
  • 등록일 2005.07.27
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top