• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6건

1.Definition of VHDL 2.What & Why HDL? 3.HDL의 종류 4.VHDL’s History 5.Benefits of VHDL 6.Design Automation 7.디지털 논리회로의 설계환경 변천 8.Design Flow 개발환경의 이해 및 실습 - 강의순서 1.Design Entry 2.Project Compilation 3.Project Simulation 4.Device Programming
  • 페이지 56페이지
  • 가격 3,000원
  • 등록일 2006.09.25
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계환경 요소 : 채광, 통풍, 창문 사례 - 코펜하겐 여름과 겨울의 발걸음. 속도 및 목적 지향적성향 비교 관찰 24시간의 주기, 밤과 낮(지구의 자전) 시계에 기초한 기계적인 시간주기 야간경제와 24시간 도시의 개념등장 : 전통적으로 24시
  • 페이지 17페이지
  • 가격 2,800원
  • 등록일 2012.07.16
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
강의 순서 Definition of VHDL What & Why HDL? HDL의 종류 VHDL’s History Benefits of VHDL Design Automation 디지털 논리회로의 설계환경 변천 Design Flow 2.개발환경의 이해 및 실습 - 강의순서 Design Entry Project Compilation Project Simulation Device Programming
  • 페이지 54페이지
  • 가격 3,000원
  • 등록일 2006.11.27
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계환경 및 시제품시험환경 지원 3,600 5,100 ETRI 중소기업애로기술 지원 현장애로기술 상담·기술지원, 공용 실험실 이용 및 시험계측장비 지원 1,300 1,500 ETRI 유망중소정보통신 기업 발굴·지원 50여 유망중소기업 선정 기술, 자금, 판로알선 등
  • 페이지 16페이지
  • 가격 900원
  • 등록일 2005.07.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계환경 구축 확대 IT839 신성장동력 시스템-부품체계도에 따라 도출된 부품규격 관리체계 및 시스템서비스 기반 일괄 R&D 체계 구축 ○ 기대 효과 취약 분야인 비메모리 분야 경쟁력 향상을 통해 ‘06~’10년간 IT SoC / 융합부품 생산액 27.8조
  • 페이지 29페이지
  • 가격 3,000원
  • 등록일 2010.02.26
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
4. 새로운 건설생산현장 4.1 정보관리시스템의 확립 4.2 건축생산 현장에서의 시도 4.3 건축생산관리의 미래전망 5. 차세대 건설관리의 전망 5.1 차세대 설계환경의 전망 5.2 건축 CIM/CIC 화 실현의 가능성 6. 결론 7. 참고문헌
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2006.05.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이전 1 다음
top