• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 240건

VHDL 의 소개 – 강의 순서 Definition of VHDL What & Why HDL? HDL의 종류 VHDL’s History Benefits of VHDL Design Automation 디지털 논리회로의 설계환경 변천 Design Flow 2.개발환경의 이해 및 실습 - 강의순서 Design Entry Project Compilation Project Simulation
  • 페이지 54페이지
  • 가격 3,000원
  • 등록일 2006.11.27
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
simulation 프로그램의 활용은 필수적이다. 7.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 (2) http://210.99.156.1/home/shkim/chart1-1-2a.htm 1. 제목 2. 개요 3. 이론 4. VHDL Code 5. 결과 및 분석 6. 토의사항 7. 참고문헌
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
출력시켰다. 1. 설계 요약문 2. 서론 1) 설계목표 1) 엘리베이터 논리 3. 설계 과정 및 결과 1) 전체 시스템 구성 및 Flow Chart 2) TOP VHDL 구성도 3) Data path 4) FSM 5) Core simulation 6) IO 7) 입출력 4. 결론 1) 결론 2) DISCUSSION  
  • 페이지 14페이지
  • 가격 3,000원
  • 등록일 2010.12.19
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Simulation을 통해 오류를 찾기 쉬운점, 정확한 논리식이 불필요하고 알고리즘에 의해 구현가능한 점, 쉽게 수정 가능한 점을 이번 Term Project를 통해 느끼게 되었습니다. 1.개요 -VHDL언어란 2. Term Project주제 -구현방법 -구현내용 3.구현과정
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
project 내에서 여러 개의 file을 만들어 사용하는데 main project의 이름과 같은 file이 main file이 되어 이 main file 에 대해서만 waveform을 비롯한 결과들이 simulation된다는 것을 이해했다. 8.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, S
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 1건

simulation. Keywords : VOR, vestibulo-ocular reflex, vision sensing, encoder, servo motor. 1. 서 론 1.1 연구배경 1.2 연구목적 1.3 연구내용 2. 본 문 2.1 시스템 개괄 2.1.1 System Block Diagram 2.1.2 System Specification 2.2 하드웨어에 대한 분석 및 구현 2.2.1 MCU(micro-con
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2010.01.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 5건

프로젝트 모임 등 다양한 조직의 리더를 맡으면서 리더십을 기를 수 있었습니다. 리더가 되면서 조직의 행동과 결과에 책임을 지고 조직원들을 이끌어야했기 때문에 강한 책임감과 신뢰를 주는 행동방식을 갖게 되었습니다. 3. 전공공부 뿐만
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
Simulation을 통해 최종 회로설계와 Layout을 완성하며 1차 프로젝트를 끝냈습니다. 2차 프로젝트는 이후에 생산되어 나오는 칩을 측정하는 것으로, 필수 사항은 아니었습니다. 그러나 시작한 일을 끝까지 마쳐야겠다는 끈기가 발동하였고, 실제
  • 가격 20,000원
  • 등록일 2017.10.20
  • 파일종류 한글(hwp)
  • 직종구분 무역, 영업, 마케팅
리플이 너무 심했고, 오버슈트도 매우 컸습니다. 전체적인 회로를 수정하며 지속적인 Simulation을 돌린 결과 최적 전력효율을 찾을 수 있었습니다. 장기프로젝트를 진행하면서 가장 힘들었던 점은 시간과 공간의 제약이었습니다. 정기적으로
  • 가격 3,000원
  • 등록일 2020.11.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
프로젝트로 QuartusⅡ 소프트웨어를 이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
Simulation Tool 활용 ③회로 결함의 진단/분석 능력, 위 3가지 역량이 필요하다고 생각합니다. 첫째, 회로설계 프로젝트 경험입니다. Verilog를 활용하여 RTL coding을 분석하고 시뮬레이션, 테스트를 진행하였습니다. 또한, 시퀀스 로직을 구현하여
  • 가격 3,000원
  • 등록일 2023.03.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top