• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,090건

Hardware Description Language.  VHDL 의 소개 – 강의 순서 1.Definition of VHDL 2.What & Why HDL? 3.HDL의 종류 4.VHDL’s History 5.Benefits of VHDL 6.Design Automation 7.디지털 논리회로의 설계환경 변천 8.Design Flow 개발환경의 이해 및 실습 - 강의순서 1.D
  • 페이지 56페이지
  • 가격 3,000원
  • 등록일 2006.09.25
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
강의 순서 Definition of VHDL What & Why HDL? HDL의 종류 VHDL’s History Benefits of VHDL Design Automation 디지털 논리회로의 설계환경 변천 Design Flow 2.개발환경의 이해 및 실습 - 강의순서 Design Entry Project Compilation Project Simulation Device Programming
  • 페이지 54페이지
  • 가격 3,000원
  • 등록일 2006.11.27
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
하드웨어 기술언어(HDL) 중 하나인 VERILOG HDL은 아날로그 회로를 논리 합성하여 디지털 회로로 만들어 설계를 만드는 것이다. 텍스트 입력으로 이해하기 쉽고 시뮬레이션으로 결과를 예측 할 수 있는 기술이다. VERILOG HDL 이용하여 자신이 원하는
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2005.09.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
2) 범용 소프트웨어 3. 소프트웨어 개발도구 : 프로그래밍 언어 1) 기계어 2) 어셈블리 언어 3) 고급언어 (1) FORTRAN (2) COBOL (3) BASIC (4) C (5) LISP와 Prolog 4) 제 4세대 언어 5) 제 5세대 언어 ※ 참고문헌
  • 페이지 15페이지
  • 가격 3,500원
  • 등록일 2009.09.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Digital Logic with VHDL Design 3/e』, Mc Graw Hill 7. http://www.roboblock.co.kr/info/info8.htm 8. http://blog.naver.com/r2adne?Redirect=Log&logNo=120155040778 1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 9건

기술의 발전 Ⅲ 모바일 스트리밍의 동향 및 기술현황 1. 모바일 스트리밍의 동향 1.1 M동영상 및 킬러 애플리케이션의 부각 1.2 하드웨어와 소프트웨어의 경합 2. 모바일 스트리밍 기술의 현황 2.1 휴대폰용 모바일 스트리밍 기술의
  • 페이지 29페이지
  • 가격 3,900원
  • 발행일 2008.10.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
3)센서 4)RF통신 2.2 구현내용 (1) 차량부 (2) 주차장 (3) 하드웨어 회로도 3. 결과 3.1 최종결과물 3.2 검증방법 4. 결론 5. 참고문헌 6. 졸업작품 후기 7. 사용된 프로그램 7.1 ParkSystem.java 7.2 Test.java
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
기술은 첨단 디지털 신호처리 기술과 고성능 디지털 신호처리 소자를 기반으로 하드웨어 수정 없이 모듈화된 소프트웨어 변경만으로 단일의 송수신 시스템을 통해 다수의 무선 통신 규격을 통합․수용하기 위한 무선 접속 기반 기술이다.
  • 페이지 21페이지
  • 가격 6,500원
  • 발행일 2009.01.02
  • 파일종류 압축파일
  • 발행기관
  • 저자
회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서관 DLL 석사논문 자료실. <8> 류 영 수, 락킹 상태 표시기를 이용한 지연 고정 루프 기반의 클록 합성기 설계, 부경대 대학원 2006 석사 논문 , 국회도서관 DLL 석사논문 자료실. <9> 백 동
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이해 3.3.1.2 홀-센서 피드백 6-스텝 커뮤테이션의 개요 4. BLDC 모터의 제어 4.1 BLDC 모터의 구성 4.2 BLDC 모터의 모델링 4.3 PWM 구현 방식 4.4 PI 전류제어기에 의한 제어 4.5 센서리스(Sensorless) BLDC 모터 제어 4.5.1 역기전력을 이용한 위치
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 19건

RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC 설계 프로젝트를 진행한 경험이 있습니다. 1. 직무 관련 경험 기술 2. 지원동기 및 포부
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
경험(프로젝트 등) (1000자 이내) [3일 밤샘의 결과] [과정은 여러 가지, 목표는 하나] 4. 회사에 제시하고 싶은 자신의 모습(자유기술) (1000자 이내) [여성의 섬세함과 남성의 도전력] 5. 본인의 능력개발을 위한 과거와 현재의 노력 및
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
종류 및 대응법 4. 면접의 진행 절차 제2절 효과적인 면접 준비방법 1. 면접에 임하는 자세 2. 면접을 위한 이미지 메이킹 3. 면접을 위한 성공 전략 4. 면접관의 질문 의도 파악하기 제3절 호감을 주는 면접화법 1. 면접을 위한 대화 기술
  • 가격 2,000원
  • 등록일 2009.09.30
  • 파일종류 한글(hwp)
  • 직종구분 기타
로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC 설계 프로젝트를 진행한 경험이 있습니다. 
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
기술해 주시기 바랍니다 3) 지원 직무와 관련된 이력을 간결하게 나열해주시기 바랍니다. 학교수강교과목, 외부교육수강 이력, 프로젝트 경험 등을 제목/경험/성적(또는 성과) 등으로 기술해주시면 됩니다 8. 자기소개서8 회로설계 9. 자기소
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
top