• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 2,553건

1차․2차 6자 회담을 통해 북한에게 주도권을 양보할 의사가 없다는 것을 명백히 하고, 북한이 핵문제를 통해 경제적인 지원을 얻고자 하는 태도를 바꾸지 않는다면 군사제재도 고려할 수 있다는 입장을 확고히 하였다.   그림차례
  • 페이지 189페이지
  • 가격 2,000원
  • 발행일 2015.02.01
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
 Ⅰ. 서 론 1) 개 요 2) 기 후 3) 주요내용 4) 문화유산 5) 호이안의 현재모습 Ⅱ. 본 론 1) 호이안의 주요 특징 2) 호이안의 역사(베트남의 고대왕국 참파) 2-1)참족의 역사 3) 호이안의 주요 건물들 3-1) 호이안의 역사적인 건물들
  • 페이지 25페이지
  • 가격 3,000원
  • 발행일 2011.05.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
③ 프 리 지 아 위 스 취 ①가로 1 시클라멘 ②가로 2 메디닐라 ③가로 3 프리지아 ④가로 4 개살구 ⑤가로 5 디펜바키아 세로 1 클레오메 spider flower 세로 2 디기탈리스 세로 3 개일라르디아 세로 4 물구나무 세로 5 바위취 세로 6 시네라리아 
  • 페이지 3페이지
  • 가격 1,000원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 <목 차> 제 1 장 서 론 1 제 1 절 연구배경 및 목적 1 제 2 절 연구방법과 대상 2 제 3 절 논문의 구성 2 제 2 장 한국 자동차 산업 노사관계 4 1. 노사관계 환경 4 1) 시장 및 기술변화 4 2) 산업구조 및 정책 5 3)
  • 페이지 165페이지
  • 가격 10,000원
  • 발행일 2011.10.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 국문 요약 Ⅰ. 서 론 1.1 연구 배경 및 목적 1.2 연구 범위 및 내용 Ⅱ. 선행연구 고찰 2.1 국내 현황 2.1.1 환경부 2.1.2 수자원공사 2.1.3 서울특별시 2.2 국외 현황 2.2.1 미국 2.2.2 일본
  • 페이지 148페이지
  • 가격 10,000원
  • 발행일 2009.06.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
ht. 2003. 11. “심층정보분석보고서 - 나노태양전지”, 한국과학기술정보연구원, 2003.12월. 12. 윤재호, 안세진, 안병태, 윤경훈, “ CIS 박막 태양전지 기술동향”, 한 국태양에너지학회지, 태양에너지, 제 4권, 제 3호. 13. 윤재호, 안병태, 윤경훈,
  • 페이지 52페이지
  • 가격 3,000원
  • 발행일 2009.02.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1.2 연구 동기 현대사회에서 가장 많이 타고 다니는 것이 아마도 지하철일 것이다. 그러나 가장 많은 적자를 기록하고 있는 것도 아마도 지하철일듯 싶다. 이 많은 적자의 대부분은 지하철을 만드는 건설 비용이겠지만, 그것에 못지않게
  • 페이지 6페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
560 1.6 2.1 합격 슬럼프 ㎝ 8±1.0 KS F 2560 8 8 합격 감수율 % 4 이상 KS F 2560 - 6.5 합격 블리이딩량의 비 % 100 이하 KS F 2560 - 76 합격 응결시간 초결 시/분 기준 Con.c -60 ∼+90 KS F 2560 - +20 합격 종결 시/분 기준 Con.c -60 ∼+90 KS F 2560 - +15 합격 압축강도비 3
  • 페이지 32페이지
  • 가격 5,000원
  • 발행일 2010.01.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1,200mm 스트럽 : (60x2)+(300-80)=120+220 =340mm -> 400mm 철근 수량산출 D10 5M / 3M 4700mm → 2EA * (공시체 3EA) (6EA) 1200mm → 61EA (9EA) 400mm → 61EA (3EA) 합 계 D10철근 18EA D22 5M / 3M 4700mm → 4EA * (공시체 3EA) 합 계 D22철근 12EA 거푸집수량산출 합판 9mm(1200x2400) 정
  • 페이지 9페이지
  • 가격 3,000원
  • 발행일 2010.12.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top