• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 63건

Esp., pp.202-209, 1991 11. Satoshi Pgasawara and Hirofumi Akagi, 'An Approach to Position Sensorless Drive for Brushless DC Motors', IEEE Trans. Indus. Appli., vol.IA-27, no.5, pp.928-933, September/October 1991 1. 서론 2. BLDC 모터 3. 센서리스 구동 4. 실험 5. 결론 참고문헌
  • 페이지 23페이지
  • 가격 4,000원
  • 발행일 2009.10.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
6.2 단백질(Proteins) ------------------------------- 34 6.3 핵 산 --------------------------------------- 39 Ⅲ. Chitosan을 혼입한 spange제조 실험 ------------------ 45 Ⅳ. 결 론 ------------------------------------------47 Ⅴ. 참고 문헌 -------------------------------------49
  • 페이지 48페이지
  • 가격 3,000원
  • 발행일 2010.02.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
ReRAM 2. 비휘발성 메모리 시장 전망 2-1. 대기업 참여 현황 II. 본 론 1. NiO 물질을 이용한 ReRAM 특성 구현 2. 실험 방법 1-1. R.F Magnetron Reactive Sputtering Deposition 1-2. 전기적 특성 평가 (I-V) 3. 실험 결과 및 분석 III. 결 론 IV. 참고문헌
  • 페이지 13페이지
  • 가격 2,000원
  • 발행일 2009.06.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
영향 2.3 점도 3. 실험방법 3.1 실험재료 3.2 실험 방법 3.2.1 염의 종류와 농도에 따른 W/O에멀젼의제조 3.3 W/O에멀젼의 물성측정 4. 결과 및 고찰 4.1 W/O에멀젼의 점도 4.1.1염의종류와 농도에 따른 점도변화 5. 결론 6. 참고문헌
  • 페이지 16페이지
  • 가격 2,000원
  • 발행일 2010.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST--------------------------- 1) Robot_Main부분 Test 2) Receive LCD Part TEST Ⅴ. 결과고찰------------------------------- Ⅵ. 결론----------------------------------- Ⅶ. 참고문헌--------------------------------
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
A1과 B1값 29 표 3.1. 근입깊이 1D 조건에서의 하중재하단계 36 표 3.2. 근입깊이 1.5D 조건에서의 하중재하단계 37 표 3.3. 근입깊이 2D 조건에서의 하중재하단계 38 표 4.1. 모형실험 조건 및 표현기호 45 표 4.2. 수치해석 결과와 차이값 54 그 림 목
  • 페이지 57페이지
  • 가격 5,000원
  • 발행일 2010.12.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
A. 현재 인정된 행정의 원칙들(Some accepted administration) 1. 분업화(specialization) 2. 명령의 통일성(unity of command) 3. 통제의 범위(span of control) 4. 목적, 과정, 고객, 지역적 조직(organization by purpose, process, clientele, place) 5. 행정이론의
  • 페이지 13페이지
  • 가격 4,000원
  • 발행일 2014.04.12
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
mid가 가진 항생제 내성을 가질 수 있다. 따라서 항생제 배지에서 키움으로 써 plasmid가 숙주에 잘 들어갔는지 확인할 수 있다. 우리 실험에서 pGEM-T easy vector는 ampicillin에 내성이 있기 때문에 LB agar 배지에 ampicillin을 깔고 conformation된 E. coli들을
  • 페이지 10페이지
  • 가격 2,000원
  • 발행일 2015.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
물리적 대상으로서의 “Room 개념”과 디자인요소 4. 평면 요소의 구성(Rule)을 통한 관계(Relationship) 4-1 단위공간의 관계에 의한 평면구성 4-1-1 단일 관계의 분석 4-1-2 복합 관계의 분석 5. Louis I. Kahn의 디자인 분석 5-1 Schema 5-1-1 Loui
  • 페이지 19페이지
  • 가격 2,000원
  • 발행일 2007.09.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
결과 값만을 확신하지 말고 그 값을 다시 검산할 수 있는 기본 능력을 배양해야 하겠다. 참고문헌 1. 김상식, 윤성기, 「강구조 설계」,문운당 2. 이리형, 「철근 콘크리트 구조」, 기문당 3. 대한건축학회 편, 강구조 계산규준 및 해설, 대한건
  • 페이지 65페이지
  • 가격 5,000원
  • 발행일 2007.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 3 4 5 6 7 다음
top