• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 9건

아키텍처, 플랫폼 문제는 적용 가능한 어플리케이션 만큼이나 다양하다. - ZigBee가 IEEE 802.15.4 MAC(medium access control) 및 물리층(PHY) 무선 표준 상에서 동작하는 네트워크, 보안, 어플리케이션 지원 서비스를 제공한다. 이 기술이 일련의 기술을 이
  • 페이지 18페이지
  • 가격 3,500원
  • 발행일 2011.12.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
아키텍처, 데이터베이스 전문 인력과 함께 프로젝트를 수행하며 Coaching 기법을 통해 내부 조직원의 역량을 강화한다. [5] 검증된 방법론과 솔루션을 활용을 적극 검토하되 자체 개발 비용과의 비교를 통해 자신의 조직에 적합한 품질 관리 방
  • 페이지 14페이지
  • 가격 2,400원
  • 발행일 2008.11.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
아키텍처 개념에 의한 신뢰계층화 및 대중소기업 상생협력모형 개발” 가톨릭대학교 박사학위논문 2006. p18-p22. 산업자원부, “대중소기업 상생협력 강화방안”, 2005. 5, p.13 삼성전자, “삼성전자와 중소기업 협력 사례”, 2005. 16 이갑수, “대
  • 페이지 29페이지
  • 가격 3,500원
  • 발행일 2011.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
architecture』. MIT press.1990. 2. Juan Pablo Bonta, 서유석 역. 『建築의 表現體系(Architecture and its Interpretation)』. 기문당. 1999. 3. Laseau Paul, 이용재 역. 『디자인개념(Graphic thinking for architects and designer)』. 국제. 1988. 4. Edward T. White, 이용재 역. 『건축디자
  • 페이지 19페이지
  • 가격 2,000원
  • 발행일 2007.09.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Architecture Ⅲ. 네트워크 프로그래밍 이해 Ⅲ-1. 네트워크 프로그래밍 기본개론 Ⅲ-2. 소켓 프로그래밍 Ⅲ-3. TCP/IP 프로토콜 Ⅳ. H.263 영상코덱 Ⅳ-1. H.263 알고리즘 Ⅳ-2. 비트율 제어 Ⅳ-2. 영상압
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
architecture" [2]Bryan Ford, Sai Susarla(1996), "CPU Inheritance Scheduling",OSDI.PP.91~105 [3]P.Goyal,X.Guo,H.M.Vin(Oct,1996), " Scheduling algorithms for multimedia Operting Sysrems", Proceedings of the Second Symposium on Operating System Design and Implementation, Seattle, WA, PP.107~122 [4]J, N
  • 페이지 19페이지
  • 가격 3,500원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
3.7 입면계획 3.8 단면계획 3.9 Green Architecture(친환경설계) 3.10 구조․설비계획 3.11 노약자 및 장애인 고려 3.12 엑소노 매트릭, 프로그램 구성 4. 전시패널 및 모형 4.1 전시패널 4.2 전시모형 Ⅵ. 결론 ■ 참 고 문 헌
  • 페이지 45페이지
  • 가격 10,000원
  • 발행일 2011.06.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Architecture Theory Since 1968」, K. Micheal Hays, 봉일범 역, 시공문화사, 2003 앨런 매길, 극단의 예언자들 - 니체, 하이데거, 푸코, 데리다, 새물결, 1996 윤평중, 「포스트모더니즘 철학과 포스트 마르크스 주의」, 서광사, 1992 하버마스, 울머, 제임슨,
  • 페이지 53페이지
  • 가격 3,000원
  • 발행일 2011.11.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
architecture t_1 of door_lock is   type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);     signal state : state_type;     signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);     signal key_d,key_det : std_logic;     signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;    
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
이전 1 다음
top