• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,364건

논리회로를 카르노 맵을 통하여 간단한 논리회로로 구현함으로써 효율적인 회로를 구성할 수 있음을 알 수가 있다. 두 개의 2 진수 X, Y와 자리올림수 C1을 포함하여 3비트를 더할 수 있는 전가산기를 실제로 설계해보고 동작을 확인해 봄으로
  • 페이지 6페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로이다. 따라서 현재의 디지털 컴퓨터는 스위치를 켜거나(1) 끄는(0) 상태로서 전기가 흐르거나 흐르지 않는 형태로 2진법의 1 비트(Bit)를 구현한다. 하지만 물리학의 양자역학 원리를 이용한 양자컴퓨터는 기존과는 전혀 다른 원리로서
  • 페이지 9페이지
  • 가격 1,800원
  • 등록일 2013.03.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로 간소화 실험목적 BCD - 부당한 코드 탐지기의 진리표를 나타낸다. 논리식을 간략화 하기 위해서 카르노맵(Karnaugh-map)을 이용한다. 간략화 된 논리식을 실행하는 회로를 설계하고 실험한다. 실험부품 및 사용기기 7400 NAND 게이트 LE
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2010.08.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 논리회로'는 필수 전공과목으로 디지털 논리에 관한 기본 이론과 이를 이용한 디지털 회로의 설계 등의 기술을 익힐 수 있도록 구성된 이론․실습 통합 과목이다. 본 교재는 불 대수 등 디지털 논리 기초 이론을 바탕으로 조합 논리
  • 페이지 15페이지
  • 가격 2,500원
  • 등록일 2007.07.09
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로의 조합 등에 의해서 설계자가 바라는 동작을 한다.) 활용 범위( PCM_펄스 코드 모듈레이션: 펄스부호변조 등의 통신분야, 공작기계수치제어 등의 제어분야, 각종 계산기나 예약장치 등의 정보처리분야, 디지털 주파계 등 계측 분야에
  • 페이지 6페이지
  • 가격 1,600원
  • 등록일 2013.06.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계를 할 수 있다. ● 카르노맵 ▶ 카르노맵은 부울대수를 간단하게 할 때 편리하게 쓸수 있다. ▶ 간소화 방법 최소항의 값이 1인 경우 카르노맵에 표시 서로 이웃한 ‘1’들을 묶는다. ( 16 > 8 > 4 > 2 ) 묶을 때 맵은 평면이 아니라 ‘
  • 페이지 12페이지
  • 가격 2,000원
  • 등록일 2010.04.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 논리회로, 연학사, 2001 고영문, 강진영, QR코드, 블로고스, 2011 김선태, 차송이, QR CODE(스마트폰이 가져 온 패러다임의 변화 2차원에 세상을 담아라), 성안당, 2011 Ⅰ. 서론 Ⅱ. 본론  1. 마이크로프로세서의 정의  2. 마이크로프로
  • 페이지 4페이지
  • 가격 2,400원
  • 등록일 2013.03.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
s that are a good test for the priority function it performs. library IEEE; use IEEE.std_logc_1164.all; entity priority is port(D: in std_logic_vector(3 downto 0); A : out std_logic_vector(1 downto 0); V : out std_logic); end priority; architecture structural of priority is begin V <= '0' when D=
  • 페이지 5페이지
  • 가격 800원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
_logic; Q : out std_logic ); end component; signal D_in, c, Q_out : std_logic_vector (3 downto 0) begin C(0) <= EN; C(1) <= C(0) and Q_out(0); C(2) <= C(1) and Q_out(1); C(3) <= C(2) and Q_out(2); CO <= C(3) and Q_out(3); D_in(0) <= C(0) xor Q_out(0); D_in(1) <= C(1) xor Q_out(1
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계하도록 하는 프로젝트였다. 상태도는 수업 시간에 배운 부분으로 충분히 파악 가능하여 쉽게 그려내었으나 이를 코딩 하는 것은 순전히 별개의 문제였고 빈 공간만 코딩한다고 하더라도 그 양이 많고 파악할 부분이 있었으며 KIT로 실험
  • 페이지 27페이지
  • 가격 2,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top