• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,880건

simulation 프로그램의 활용은 필수적이다. 7.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 (2) http://210.99.156.1/home/shkim/chart1-1-2a.htm 1. 제목 2. 개요 3. 이론 4. VHDL Code 5. 결과 및 분석 6. 토의사항 7. 참고문헌
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
통해 보다 쉽게 이해하고 배울 수 있는 좋은 기회가 된 것 같다. 3.2 참고자료 ▶ DigitalDesign, J.F.Wakerly, PrenticeHall, 2006. ▶ DigitalDesign PRINCIPLS&PRACTICES, J.F.Wakerly, PrenticeHall, 2002. 1. 프로젝트 개요 2. Source Code 분석 및 설계 3. 프로젝트 고찰
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
(1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 (2) http://cafe.naver.com/carroty.cafe (3) http://blog.naver.com/nowcafe?Redirect=Log&logNo=20016488913 1. 제목 2. 개요 3. 이론 4. 설계과정 5. VHDL Code 6. 결과 및 분석 7. 토의사항 8. 참고문헌
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
등의 사용가능 여부(그리고 사용의 제한 등)를 빨리 파악함. ▶ C compiler가 없을 시에는 assembly code로 프로그래밍 해야 함. ④ 보드 제작 ▶ 멀티 플레이 기능을 위해서 적어도 2개의 보드를 제작해야 함 ( 예비로 3개를 제작해도 무방) 
  • 페이지 9페이지
  • 가격 2,000원
  • 등록일 2012.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다. 4. 참고 자료 -VHDL을 활용한 디지털 회로 설계 (한울출판사) -네이버 백과사전 1. 개 요 2. 문 제 (1) 3*8 Decoder (2) 3*8 Encoder 3. 고찰 및 의견 4. 참고 자료
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로 설계에 대해 많은 것을 공부하고 배울 수 있었다. 앞으로 VHDL을 사용하여 회로를 이해하고 구성하는데 오늘의 프로젝트가 많은 도움이 될 것이다. 3.2 참고 문헌 ▶ DigitalDesign, J.F.Wakerly 저, PrenticeHall, 2006. ▶ VHDL의 기초와 디지털 논리회로
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로를 구성하는 게이트 내부의 delay라는 것을 알 수 있다. 참고서적 - 이대영 저, 하드웨어 설계를 위한 VHDL 기초와 응용, 홍릉과학, 초판, 1995, pp.36-48, 64-66, 100 - 박세현 저, 디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린, 초판, 1998 pp.31-39&nb
  • 페이지 8페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
의해 구현가능한 점, 쉽게 수정 가능한 점을 이번 Term Project를 통해 느끼게 되었습니다. 1.개요 -VHDL언어란 2. Term Project주제 -구현방법 -구현내용 3.구현과정 -동작 알고리즘 -기본 동작 -VHDL 코드 4.시나리오 5.검토 및 고찰
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로의 조합으로 구성되며 대표적인 기능을 갖는 것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다. 4. 참고 자료 -VHDL을 활용한 디지털 회로 설계 (한울출판사) -네이버 백과사전 
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.07.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top