|
험하여 testbench 코드가 필요 없으며 출력값이 직관적이다.
Evaluation
state를 한정한 counter를 모드에 따라서 binary로 또는 gray로 동작하도록 하는 것으로 회로의 이해가 어렵거나 코딩이 복잡하지는 않았다.
분주회로나 비동기식 리셋도 경험해본
|
- 페이지 13페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
logic;
G, P, Sum : out std_logic);
end component;
component Lookahead_carry_generator
port(G, P : in std_logic_vector (3 downto 0);
Ci : in std_logic;
m : in std_logic;
C : out std_logic_vector (4 downto 1);
PG, GG : out std_logic);
end component;
begin
B_sig(0) <= B(0) Xor m;
B_sig(1) <= B(1)
|
- 페이지 17페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
대로를 유지한다.
4. 그림 17-3의 회로에서 입력 J와 K가 우연히 바뀌어졌을 경우에 어떤 영향이 관찰될 것인가?
J=Q, K=Q가 되므로 실험순서3에서와 같이 값이 변하지 않고 초기값을 유지한다.
초기값이 SET이면 SET을 유지하고 RESET이면 RESET을 유
|
- 페이지 4페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
험을 마치기 위한 출력은 비록 s가 1000일 때인 a값이 그대로 출력되는 것 뿐이었지만 다른 출력값을 확인해 보기 위해서 여러 가지 s 값을 시도하였고 아쉽게도 7segments는 제대로 구현되지 못했지만 led상에서는 제대로 작동하고 있어 의미가 있
|
- 페이지 11페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
1 1 0
1 1 0
0
1
0
1
A0
1 1 1
1 1 1
0
1
1
0
A0
※첨부한 회로도는 PISPICE에서 아날로그 소자를 디지털소자로 대체한 회로도입니다.
실험 결과 : 2비트 비교기 (A≥B) 에 대한 결과 사진
1 0 1 1 => 0 0 1 0 1 => 1
0 0 0 0 => 1 1 1 1 1 => 1
결과 및 결론 : 이
|
- 페이지 4페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
험을 그렇게 마치고 돌아오는 길에 생각을 해보니 아까 내가 잠깐 전압을 5V에서 10V로 올렸었는데 그때 약간의 타는 냄새가 났었다. 그게 그 게이트 안에서 전선이 타서 나는 냄새였던 것 같다. 그래도 표 5-5에 증상 3번내용에 가능한 원인 중
|
- 페이지 5페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
험할 때는 회로구현에서 문제가 하나도 생기지 않도록 해야겠다.
평가 및 복습 문제 :
1. X=A(A+B)+C는 X=A+C와 등가이다. 이를 부울 대수로 증명하라.
X=A(A+B)+C ⇒ (분배법칙) ⇒ X=AA+AB+C
⇒ (부울법칙 7. AA=A) ⇒ X=A+AB+C
⇒ (부울법칙 10. A+AB=A) ⇒ X=A+C
|
- 페이지 6페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
다 연결 해야 하는데 연결을 하면서도 합선 이라던가, 잘못된 핀번호에 꽂기 등 몇가지의 이유로 실험의 결과값이 제대로 나오지 않아 다시 실험을 하게 되어 시간이 지체 되었다.
2진/Excess-3코드 변환 회로를 구성하는 과정에서는 C0를 접지에
|
- 페이지 4페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
험하였다. 그리고 잡음이 많이 나와서 예쁜 파형을 만들려고 주파수를 많이 올렸다. 그리고 실험시간 안에 못해서 실험 10번에 오실로스코프 파형을 제대로 관찰하지 못한 점이 이번 실험에서 가장 아쉬운 부분인 것 같다.
평가 및 복습 문제 :
|
- 페이지 10페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
개방상태라면 출력 핀에 어떤 전압이 나타날 것으로 예상되는가?
출력에는 유효하나 논리레벨이 나타날 것이다.
4. 논리 측적을 위해 논리 프로브와 디지털 멀티미터를 사용할 경우의 장단점에 대해 논의하라.
논리 프로브
디지털 멀티미터
|
- 페이지 5페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|