• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,685건

f door_lock_mod_tb is component door_lock port ( clk : in std_logic; rst : in std_logic; ps_start : in std_logic; ps_end : in std_logic; ps_mod : in std_logic; ps_num : in std_logic_vector (3 downto 0); door_open : out std_logic; alarm : out std_logic ); end component; signal clk : std_logic; signa
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2014.03.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
std_logic ); end component; begin key : comp_4bit port map(input_a,input_b,output_eq,output_agb,output_alb); input_a <= "0000", "1000" after 100 ns; -- 입력 시그널을 4비트로 생성, “”사용 input_b <= "0000", "1111" after 200 ns; -- 모든 경우에 대한 입력을 생성하기 어려우므로 샘
  • 페이지 5페이지
  • 가격 1,000원
  • 등록일 2017.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 1. 개요 2. 디자인 3. 결론 4. 느낀점
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
_read <= ~DUT_sel_read; end end sram_mosaic Sram_write( .addr(addr_write), .clk(clk), .din(D_out), .dout(), .we(we_write) ); sram_mosaic Sram_read( .addr(addr_read), .clk(clk), .din(), .dout(D_in), .we(we_read) ); endmodule Test Bench module TB_SramReadTest(); parameter addr_width = 18, /
  • 페이지 28페이지
  • 가격 3,300원
  • 등록일 2013.05.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
전기 설계 공학 실험목표 ╋━━━━━━━━━━─────── • 시퀀스 제어회로에 대한 이해 • 시퀀스회로와 디지털논리회로에 관한 관계 이해 • 시퀀스 제어회로를 디지털논리회로로 구현  - 퀴즈부저, 분
  • 페이지 23페이지
  • 가격 5,000원
  • 등록일 2012.11.01
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
말한다. 그러므로 비동기식 카운터에서 갖는 전파 지연 문제를 해결할 수 있으며 순차 회로 설계 기법을 사용하여 체계적으로 설계할 수 있다. 동기카운터란 동기카운터종류 -2비트 2진 -3비트 2진 -BCD 10진 설계절차 카운터 응용
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2010.02.03
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계 목적 count 및 shift register 및 게이트를 이용한 제작 푸시 스위치를 이용하여 1회 누름 시 10초간 동작 동작중에도 버튼 누르면 시간 누적 1. 설계 목적 2. 관련 이론 3. 회로도 4. 소요 부품 5. 역할 분담 및 제작 일정
  • 페이지 9페이지
  • 가격 3,000원
  • 등록일 2010.05.27
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
관련 이론 토 글 스위치 사람이 일단 수동 조작을 하면 반대로 조작할 때까지 접점의 개폐상태가 유지되는 스위치 1. 설계 목적 2. 관련 이론 3. 회로도 4. 소요 부품 5. 역할 분담 및 제작 일정
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2010.05.27
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리식과 여기표에 의한 논리 식이 다르게 나왔으나, 토의 결과 진리표에서 오류를 발견하고 수정 후 논리식이 동일하게 나오는 것을 알았다. 토의 시간과 설계를 같이 하며 조원간의 단합심을 기를 수 있었다. 이 번 설계를 통해서 JK flip flop
  • 페이지 6페이지
  • 가격 13,860원
  • 등록일 2012.12.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
3비트와 2비트의 곱을 NAND GATE와 NOT GATE만을 이용해 7-SEGMENT에 표현 1. 설계 목적 2. 회로도 3 . 제작사진 4. 문제점 및 해결방법 5. 비용,역할 분담 및 제작 일정
  • 페이지 11페이지
  • 가격 4,000원
  • 등록일 2010.05.27
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top