|
ut std_logic
);
end component;
begin
key : comp_4bit
port map(input_a,input_b,output_eq,output_agb,output_alb);
input_a <= \"0000\", \"1000\" after 100 ns; -- 입력 시그널을 4비트로 생성, “”사용
input_b <= \"0000\", \"1111\" after 200 ns; -- 모든 경우에 대한 입력을 생성하기 어려
|
- 페이지 5페이지
- 가격 1,000원
- 등록일 2017.06.23
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
비교기(比較器, comparator)는 가산기와 함께 중요 연산장치로서 중규모에서 대규모의 집적회로로 제작된다. 또한 펄스발생 등등 다른 여러 가지 분야에서도 응용이 가능하다.
3 설계 목적
실제 SAD bit는 4bit 보다 더 크겠지만 원리를 이해한다
|
- 페이지 11페이지
- 가격 1,500원
- 등록일 2018.10.24
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
4Bit 비교기
7486
1개
2 input XOR GATE(R-S 래치회로)
7490
1개
10진 카운터
74164
1개
8Bit Shift Register
74175
4개
Quad D type F/F
저항
300Ω
86개
FND 및 스위치 누설전류 방지
7segment
FND507
10개
시간 Display
스위치
토글 스위치
2개
비밀번호 리셋
슬라이드 스위치
1개
|
- 페이지 12페이지
- 가격 7,000원
- 등록일 2013.11.26
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
4Bit 비교기
7486
1개
2 input XOR GATE(R-S 래치회로)
7490
6개
10진 카운터
74192
1개
4Bit Up/Down 카운터
저항
300Ω
42개
FND 및 스위치 누설전류 방지
1kΩ
1개
NE555 Ra
6.8kΩ
1개
NE555 Rb
콘덴서
100F
1개
비안정 M/V 주파수 조절.
0.01F
1개
NE555 디커플링용으로 잡음제
|
- 페이지 14페이지
- 가격 8,000원
- 등록일 2012.11.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
multi is
port( a : in unsigned (1 downto 0);
b : in unsigned (1 downto 0);
result : out unsigned (3 downto 0));
end entity;
architecture arc of multi is
begin
result <= a * b;
end arc; Decoder(4bit BCD)
Multiplexer(8비트)
Encoder(4bit)
Comparator(4bit)
Multiplier(2bit)
|
- 페이지 6페이지
- 가격 2,000원
- 등록일 2011.11.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|