• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,674건

대학교 출판부/ 2005 전기전자재료 제16권 제12호 (2003년 12월) 전기전자재료 제18권 제11호 (2005년 11월) 전자 엔지니어 www.eetkorea.com Free Scale www.eetkorea.com 일본 산업기술 종합연구소 www.aist.go.jp 한국 과학재단 www.kosef.re.kr 화학공학연구정보센터 www
  • 페이지 17페이지
  • 가격 2,000원
  • 등록일 2009.11.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
(전자통신공학과, 석사). 17. 장정줄(1982), 국어의 접속사 연구, 동아대학교 대학원(석사). 1. 서론 2. ‘및’의 통시적 변화 3. ‘및’의 분포 4. ‘및’의 통사적 기능과 의미 4.1 ‘및’의 통사적 기능 4.2 ‘및’의 의미 5. 결론
  • 페이지 30페이지
  • 가격 3,300원
  • 등록일 2002.07.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 1. 연구모형 2. 분석방법 3. 자료수집 4. 변수측정 Ⅳ. 분석 결과 1. 소년범죄의 발생추세 2. 전체소년범 인원과 소년형법범 및 소년특별법범 3.연도별에 따른 소년범의 연령별 분포 4. 소년범의 범죄유형별 현황 5. 범죄원인
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2007.05.14
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로도 (1)3진수 회로 (2)10진수 회로 (3) 최종 결과 회로 3.설계결과 10진수(3진수) 출력파형 ON 1(001) 2(002) 3(010) 4(011) 5(012) 6(020) 7(021) 8(022) 9(100) 4. TROUBLE SHOOTING 문제점 해결방안 회로의 복잡함으로 인한 가격,실용성 문제 ☞ 더 간단한 회로를 구성
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.09.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
전기전자회로실험 - 실험6. 논리조합회로의 설계 - 1.개요 ◎ 논리게이트 조합으로 복잡한 논리적 함수관계 구현 및 불필요하게 복잡한 논리를 단순화 시키는 K-map 응용 방법을 익히고 don’t care 조건을 다루는 예를 실습한다. ◎ 조
  • 페이지 35페이지
  • 가격 3,000원
  • 등록일 2012.11.01
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 및 시스템”, 문운당 [4] 대한전자공학회, “디지털 전자회로 및 시스템 실험”, 청문각 [5] 이행우, “디지털회로설계 실습”, 과학기술 [6] 박용수, “디지털 논리 설계”, 북두출판사 [7] 김정태 “디지털 이론 및 실험”, 차송 실험
  • 페이지 78페이지
  • 가격 12,600원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로 및 시스템”, 문운당 [4] 대한전자공학회, “디지털 전자회로 및 시스템 실험”, 청문각 [5] 이행우, “디지털회로설계 실습”, 과학기술 [6] 박용수, “디지털 논리 설계”, 북두출판사 [7] 김정태 “디지털 이론 및 실험”, 차송 실험
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로에서의 설계는 조합논리회로와 순서논리회로를 사용한 설계로 나눌수 있습니다. Vending Machine과 같이 조합논리회로를 사용해서는 표현하지 못하거나 어려운 부분을 순서논리회로를 사용하여 표현하면 보다 정확하게 표현이 가능했
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 뒷면 6. 결과 및 고찰 마지막으로 논리 회로 실험에서 하는 프로젝트이다. 주어진 3개의 설계 중 7세그먼트를 기본 소자를 이용하여 디코더를 제작한 뒤 그 출력 값들을 이용해 7-세그먼트를 구현 해보는 설계, 7483 Full Adder를 이용하여 4비
  • 페이지 14페이지
  • 가격 2,000원
  • 등록일 2011.07.14
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
aly; architecture Behavioral of counter_mealy is type st_mealy is( a, b, c, d, e, f, g, h, i, j ,k ,l ,m ,n, o, p); signal state : st_mealy; signal s_input : std_logic; begin process(m_reset,m_clk) begin if m_reset = '1' then s_input <= '0'; elsif rising_edge(m_clk) then s_input <= m_input; en
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2012.12.24
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top