• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,842건

논리와 연산작용을 수행하는 반도체를 말함. 메모리 이외의 모든 반도체. ex) Intel의 펜티엄(Pentium)칩과 같은 논리회로, 밥솥, 냉장고등의 온도조절기등과 같이 특정목적을 위해 사용되는 칩 등. 사례분석 <삼성 반도체 사업부>- 어떻게
  • 페이지 32페이지
  • 가격 3,000원
  • 등록일 2014.06.18
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리와 연산작용을 수행하는 반도체를 말함. 메모리 이외의 모든 반도체. ex) Intel의 펜티엄(Pentium)칩과 같은 논리회로, 밥솥, 냉장고등의 온도조절기등과 같이 특정목적을 위해 사용되는 칩 등. 반도체의 개념및 사례요약 전략분석 및
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.06.18
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로 디멀티플렉서는 하나의 입력 회선에 여러 개의 출력 회선을 연결하여, 선택 신호에서 지정 하는 하나의 회선에 출력하므로 데이터 분배기라고도 한다. 디코더의 입력은 복수, 디멀티플렉서는 입력이 단수이다. (2)Enable 단자가 있는
  • 페이지 3페이지
  • 가격 9,660원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로칩에 의해서 구성된 마이크로프로세서를 핵심으로 하여 컴퓨터로서의 기능을 수행하게 한 것이다. 마이크로컴퓨터의 명령어체계·번지지정방식 등은 대형컴퓨터와 크게 다른 점이 없지만, 논리회로의 분산형식·처리속도·크기·가격 등
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2009.05.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로 소자 계산속도 응용분야 특징 제1세대 1950년대 진공관 200μsec 과학 기 술 계산 사무 통계 및 집계 부피가 크 고 수명이 짧으며 유지 비용이 많이 들었다 제2세대 1960년대 트랜치스터 3.2μsec 과학 기술 계산 사무통계 생산관리 운영체
  • 페이지 21페이지
  • 가격 1,500원
  • 등록일 2008.09.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로, 바이오 센서기술을 합하면 미래에는 바이오로봇의 두뇌에 해당하는 CPU(중앙 연산처리장치)를 만들어낼 수 있을 것으로 기대하고 있다. 현재 이 연구를 진행중인 서강대 생물전자 및 생물정보학 연구실에서는 "가로세로 1㎝기판에
  • 페이지 10페이지
  • 가격 1,300원
  • 등록일 2005.09.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로칩에 의해서 구성된 마이크로프로세서를 핵심으로 하여 컴퓨터로서의 기능을 수행하게 한 것이다. 마이크로컴퓨터의 명령어체계번지지정방식 등은 대형컴퓨터와 크게 다른 점이 없지만, 논리회로의 분산형식처리속도크기가격 등에서
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2011.04.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
P : OUT std_logic ); END COMPONENT; --Inputs SIGNAL rst_n : std_logic := '0'; SIGNAL clk : std_logic := '0'; --Outputs SIGNAL DIGIT : std_logic_vector(6 downto 1); SIGNAL SEG_A : std_logic; SIGNAL SEG_B : std_logic; SIGNAL SEG_C : std_logic; SIGNAL SEG_D : std_logic; SIGNAL SEG_E : std_logic; SIGNAL
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ta_out_reg, addr_reg, data_reg, load_operand1, load_operand2, load_plus, load_minus, calculate, operand); …… ⑤ end Behavioral; ① 입출력 포트 벡터 선언. ② lcd_test.vhd 파일의 입출력 포트를 선언. ③ datagen.vhd 파일의 입출력 포트를 선언. ④ 내부 signal 설정. ⑤ lcd_test.vh
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
omponent Declaration for the Unit Under Test (UUT) COMPONENT fourbit_adder PORT( x : IN std_logic_vector(3 downto 0); y : IN std_logic_vector(3 downto 0); ci : IN std_logic; m : IN std_logic; s : OUT std_logic_vector(3 downto 0); co : OUT std_logic ); END COMPONENT; --Inputs SIGNAL ci : std_logic :
  • 페이지 9페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top