• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 34,519건

논리회로와 부울대수 1. 부울대수란 1) 부울대수의 가산 2) 부울대수의 승산 2. 부울대수의 정리 1) 쌍대관계 2) 드모르간의 정리(De-Morgan's Theorem) 3) 컨센서스(Consensus)의 정리 Ⅶ. 논리회로와 2단논리회로 1. NAND 게이트와 NOR 게이트 2. 범
  • 페이지 18페이지
  • 가격 9,000원
  • 등록일 2013.07.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
\'d\' (a) 16개의 입력을 갖는 2단 논리회로 x = ab, y = c + d F = ab(c+d)+c\'d\'(a\'+b\') = xy + x\'y\' (b) 14개의 입력을 갖는 다단 논리회로 그림 2.2.3 회로 다단화 그림 2.2.4 기술 매핑 2.3 레이아웃 합성(Layout Synthesis) 자동 생성되거나 또는 물리적 Mask Pattern을
  • 페이지 20페이지
  • 가격 9,660원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로의 역할이다. 6. epilogue - 2016년도 1학기에 디지털논리회로를 배우며 처음 프로젝트라는 것을 접해보았고, 여러 프로그램들을 사용해보며 7-segment와 이를 기반으로 한 도어락 회로를 구성하여 구현해본 경험은 지금의 feedback amp를 구현하는
  • 페이지 9페이지
  • 가격 1,400원
  • 등록일 2017.06.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
'd' (a) 16개의 입력을 갖는 2단 논리회로 x = ab, y = c + d F = ab(c+d)+c'd'(a'+b') = xy + x'y' (b) 14개의 입력을 갖는 다단 논리회로 그림 2.2.3 회로 다단화 그림 2.2.4 기술 매핑 2.3 레이아웃 합성(Layout Synthesis) 자동 생성되거나 또는 물리적 Mask Pattern을 설계
  • 페이지 20페이지
  • 가격 2,800원
  • 등록일 2014.09.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로는 증폭회로를 2단고리처럼 연결한 형식이 기본이다. 플립플롭회로는 컴퓨터의 연산 ·제어회로에서 수를 저장하거나 정보의 흐름을 제어하기 위해 많이 사용된다. 플립플롭의 여기표 플립플롭의 논리적 성격과 동작 표시. 특성표 : 현
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2010.01.22
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 134건

회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하여 위상간의 차이를 전하펌프에서 전류의 크기로 바꾸어 전
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
8강. 토론의 규칙 1. 토론이란 무엇인가 의사소통의 철학으로 유명한 하버마스(Jurgen Habermas)에 따르면, ‘이상적 담화 상황’에 서 모든 참가자는 다른 사람의 의견을 경청하고 거기에 답변하려는 개방성을 가지고 토론에 임해야 한다.
  • 페이지 8페이지
  • 가격 1,000원
  • 발행일 2011.07.21
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
광고 노트 - 박현길진실, 거짓 & 광고(광고 커뮤니케이션과 어카트 플래닝의 기술) - 존스틸 1. 우리는 기호를 소비한다 2. 왜 기호를 소비하는가 3. 보드리야르의 소비사회론 4. 새로운 의미작용의 양식 5. 광고: 산타크로스적 논리
  • 페이지 8페이지
  • 가격 1,500원
  • 발행일 2007.10.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 변해가고 있으며···, 이는 장차 미국의 정치안정에 위험한 결과를 초래할 것이다”라고 리프킨은 말한다. Ⅲ. 결 론 1970년대 중반 이전까지 안정된 고용시장과 높은 경제성장 및 낮은 인플레이션을 성공적으로 결합한 케인즈주의적 복
  • 페이지 10페이지
  • 가격 2,500원
  • 발행일 2009.06.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

기업신용보고서 8건

(주)상상과논리에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황, 관계사현
  • 페이지 5페이지
  • 가격 7,000원
  • 발행일 2021.10.07
  • 파일종류 아크로벳(pdf)
  • 기업명 (주)상상과논리
  • 대표자 조은미
  • 보고서타입 국문
(주)상상과논리에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황, 관계사현
  • 페이지 8페이지
  • 가격 11,000원
  • 발행일 2021.10.07
  • 파일종류 아크로벳(pdf)
  • 기업명 (주)상상과논리
  • 대표자 조은미
  • 보고서타입 영문
(주)상상과논리에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황, 관계사현
  • 페이지 12페이지
  • 가격 55,000원
  • 발행일 2021.10.07
  • 파일종류 아크로벳(pdf)
  • 기업명 (주)상상과논리
  • 대표자 조은미
  • 보고서타입 영문
(주)상상과논리에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황, 관계사현
  • 페이지 16페이지
  • 가격 13,000원
  • 발행일 2021.10.07
  • 파일종류 아크로벳(pdf)
  • 기업명 (주)상상과논리
  • 대표자 조은미
  • 보고서타입 국문
(주)논리수학에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주현황, 경영진현황, 관계사현황,
  • 페이지 5페이지
  • 가격 7,000원
  • 발행일 2020.05.20
  • 파일종류 아크로벳(pdf)
  • 기업명 (주)논리수학
  • 대표자 김학현
  • 보고서타입 국문

취업자료 652건

회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
논리 회로 (Digital Logic Circuits) □ 기본 논리 게이트(AND, OR, NOT, NAND, NOR, XOR, XNOR)의 진리표를 작성하시오. □ 플립플롭(flip-flop)의 종류와 그 동작 원리를 설명하시오. □ 레지스터와 시 <제목 차례> ? 이 자료를 구성하면서 읽어본 참고 문헌
  • 가격 9,900원
  • 등록일 2024.09.14
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
top